Важная информация

User Tag List

Страница 100 из 191 ПерваяПервая ... 96979899100101102103104 ... ПоследняяПоследняя
Показано с 991 по 1,000 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #991
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ёлки-палки... Вы что, ночами не спите?
    Спасибо за код, вечером разбираться буду.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  2. #991
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #992
    Banned
    Регистрация
    04.03.2010
    Адрес
    Россия. Пятигорск.
    Сообщений
    2,296
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от WingLion Посмотреть сообщение
    а вообще, уже есть мысля, как написать статью наподобие той, про AHDL, но про "VHDL - это очень просто!"
    Это было бы очень замечательно.

  4. #993
    Member Аватар для batr
    Регистрация
    02.02.2011
    Адрес
    Чебоксары
    Сообщений
    58
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    WingLion - может посоветуете начинающему, только только начинающему, с чего начать изучать VHDL, может по какой нибудь книжке хорошей. И вообще с чего начать. Пока что скачал и как вроде установил Quartus II 9.1 , хочу именно с этой версии начать изучать - может и по этой программке есть какая литература на русском?
    В прошлом году, я начал изучение VHDL с нескольких книжек, но больше всего понравилась книга Дж.Ф. Уэйкерли "Проектирование цифровых устройств" в двух томах.
    Эту книгу я купил ещё будучи студентом, а вплотную засел за неё только в прошлом году.
    KAY-1024/3SL + DivGMX
    ZX-Evolution rev.B + NeoGS 4Mb + TSFM

  5. #994
    Veteran Аватар для KokaF77
    Регистрация
    22.09.2010
    Адрес
    Крымск
    Сообщений
    1,151
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    Post

    Цитата Сообщение от batr Посмотреть сообщение
    В прошлом году, я начал изучение VHDL с нескольких книжек, но больше всего понравилась книга Дж.Ф. Уэйкерли "Проектирование цифровых устройств" в двух томах.
    Эту книгу я купил ещё будучи студентом, а вплотную засел за неё только в прошлом году.
    Вот, пожалуйста.

    Под спойлером.
    Последний раз редактировалось KokaF77; 14.02.2012 в 15:58.
    Разыскиваю всё, что связано с ПЭВМ "Ириша".

  6. #995
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от lisica Посмотреть сообщение
    Ёлки-палки... Вы что, ночами не спите?
    Сплю. Просто встаю в 4 утра, чтобы собраться и неторопясь топать на работу.
    А пока завтрак готовится, в интернет захожу/почту разгребаю...
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  7. #996
    Banned
    Регистрация
    04.03.2010
    Адрес
    Россия. Пятигорск.
    Сообщений
    2,296
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от batr Посмотреть сообщение
    В прошлом году, я начал изучение VHDL с нескольких книжек, но больше всего понравилась книга Дж.Ф. Уэйкерли "Проектирование цифровых устройств" в двух томах.
    Эту книгу я купил ещё будучи студентом, а вплотную засел за неё только в прошлом году.
    К сожалению, что касается практики, то там про ПЛИС фирмы Xilinx в основном описание идёт хотелось бы про АЛЬТЕРу чтобы было. И среда разработки соответственно не Quartus. А так, конечно книжечка очень хорошая, так как очень много другой полезной информации. Но мне не подходит.

    Наверное.
    Последний раз редактировалось Viktor2312; 14.02.2012 в 19:21.

  8. #997
    Guru Аватар для palsw
    Регистрация
    08.05.2011
    Адрес
    г. Измаил, Украина
    Сообщений
    3,216
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    подскажите нубу,тоесть мне -убил часа 2 на чтение нета и этого топика -но так и не понял как стереть плис epm3032atc44 ,что бы она была чистая и ноги в ZZZZ ???
    Радио-Губитель Канал на YouTube

  9. #998
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    JTAG к ПЛИС-е подключен?

    Втыкается в него байт-бластер (или USB-бластер), запускается MAX-Plus II или Quartus II, в нем Альтеровский программатор, и в программаторе выбирается действие Erase. После чего просто - Start.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  10. #999
    Guru Аватар для palsw
    Регистрация
    08.05.2011
    Адрес
    г. Измаил, Украина
    Сообщений
    3,216
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    WingLion, если чесТно не могу найти закладку или кнопку erase.прошить могу без проблем.осталось только выпаять плис ,а затем впаять обратно (она мешает микроконтроллеру прошится собака)

    использую MAX+PLUS II 10.23
    Радио-Губитель Канал на YouTube

  11. #1000
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Если ПЛИСа шьется без проблем - как вариант - сделать фиктивную прошивку для нее, где мешающие выводы в Z перманентно переведены (назначены как входы, например).

    Не помню уже как там в MAX-Plus было. Возможно, кнопка стирания как-то иначе обозвана.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

Страница 100 из 191 ПерваяПервая ... 96979899100101102103104 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •