Важная информация

User Tag List

Страница 102 из 191 ПерваяПервая ... 9899100101102103104105106 ... ПоследняяПоследняя
Показано с 1,011 по 1,020 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #1011
    Veteran
    Регистрация
    19.02.2010
    Адрес
    Энергодар
    Сообщений
    1,187
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    22 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zebest Посмотреть сообщение
    Вот тут человек то жи подвиг хотел совершить, но видимо раздумал...
    Видел это....жаль что так и не совершил..

  2. #1012
    Veteran
    Регистрация
    19.02.2010
    Адрес
    Энергодар
    Сообщений
    1,187
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    22 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Keeper Посмотреть сообщение
    Есть спекки 2010, проект на CPLD, есть Реверсы от Влада и есть туториалы, от Женя7 и ещё кого-то (уже не помню), т.к. сегодня у меня день выпал - завтра или послезавтра выложу тут ссылками.
    З.Ы.: относительно исходников, Олег (solegstar) пересылал мне их почтой, там есть ошибки.
    Но. ИМХО, выискивать их в схемном вводе - довольно бессмысленная затея. Имеет смысл потратить немного больше времени, но ознакомиться с HDL языками...
    Спасибо...А можно ошибки в исходниках мне на почту???
    Последний раз редактировалось oracleua; 25.03.2013 в 03:13.

  3. #1013
    Veteran
    Регистрация
    19.02.2010
    Адрес
    Энергодар
    Сообщений
    1,187
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    22 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Keeper Посмотреть сообщение
    Нет
    1. Я просмотрел по диагонали исходник и то что получается в RTL Viewer`e, нашел только две потенциальных проблемы

    2. Лучше не выкладывать свои емаил адреса на индексируем страницах форума, спам-боты не дремлют
    3. ИМХО, имеет смысл всё(!) переписать на HDL, т.к. исходник станет намного проще анализировать. И это позволит избежать проблем с куда-попало подключенными входами лог. элементов... как минимум.
    Это так в схеме...
    Жаль..но на HDL не смогу понять..

  4. #1014
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от oracleua Посмотреть сообщение
    Жаль..но на HDL не смогу понять..
    Я тоже раньше так думал. Влад с Женей7 заставили передумать.

    Цитата Сообщение от vlad Посмотреть сообщение
    Это скорее сюда: Робик АЛУ - Модуль расширения
    Дык там Z80 нету. Это к реверсу.

    ---------- Post added at 08:56 ---------- Previous post was at 08:53 ----------

    PS Если что - всем миром можо написать прошивку. Заодно и хоть и не совсем, как я, но кое что выучишь в VHDL e. Я тож учился по Жениному проэкту реверса.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  5. #1015
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от oracleua Посмотреть сообщение
    Жаль..но на HDL не смогу понять..
    Краткий курс HDL

    РУКОВОДСТВА И ОПИСАНИЯ ЯЗЫКА VHDL

  6. #1016
    Master
    Регистрация
    17.05.2011
    Адрес
    Днепропетровск
    Сообщений
    520
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да не такой он и страшный, ну да женская логика, все процессы начинаются сразу, ну да подход другой чем у большинства языков программирования, дык он и не язык программирования, он все таки язык описания электроники.

    Да, привыкнуть сложно, нужно просто брать тоториал к реверси и курить его, папутно разбираясь в мелочах самого спектрума.
    ZXM-Phoenix v.03/2048/FDD/HD/YM-2149F/PS2-kbd; U8EP3C development board БК-0011М by Woland + SMK 512

  7. #1017
    Master
    Регистрация
    21.08.2006
    Адрес
    Ижевск
    Сообщений
    912
    Спасибо Благодарностей отдано 
    20
    Спасибо Благодарностей получено 
    272
    Поблагодарили
    149 сообщений
    Mentioned
    12 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от oracleua Посмотреть сообщение
    Подскажите где найти в схематике проект квартуса типа ПЛИС+Z80+ПЗУ+ОЗУ(типа ру5,7,симм).
    Хотелось бы заменить Т34ВГ1 (КА1515ХМ1-216) на ПЛИС EPM3128ATC100-10N...
    Основная плисина для Pentagon1024SL v2.2 в схематике.
    ---
    Обычно лежит и здесь, но в связи с переездом narod-а может пропасть.
    Вложения Вложения

  8. #1018
    Veteran
    Регистрация
    19.02.2010
    Адрес
    Энергодар
    Сообщений
    1,187
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    22 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от DDp Посмотреть сообщение
    Основная плисина для Pentagon1024SL v2.2 в схематике.
    ---
    Обычно лежит и здесь, но в связи с переездом narod-а может пропасть.
    Спасибо...то что нужно...

  9. #1019
    Activist Аватар для 13joe
    Регистрация
    14.04.2008
    Адрес
    Новомосковск
    Сообщений
    405
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Заранее извиняюсь если запостил не в ту тему...

    А можно в altera usb-blaster-e (плата от zorel-a) вместо плис 3064атс44 использовать 3032атс44 ? Если да, то нужно ли перекомпилировать прошивку под 3032атс44 ?
    Pentagon 1024SL 2.2 + Z-Controller + TSFM + GS + 512Kb + HxC Floppy Emulator
    Altera DE1
    Altera DE2
    Апогей БК-01Ц + Rom-Disk 512kb by Vinxru

  10. #1020
    ZEK
    Гость

    По умолчанию

    Нужно и не факт что влезет, емкость в 2 раза меньше

Страница 102 из 191 ПерваяПервая ... 9899100101102103104105106 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •