Важная информация

User Tag List

Страница 98 из 191 ПерваяПервая ... 949596979899100101102 ... ПоследняяПоследняя
Показано с 971 по 980 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #971
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Так должно быть?
    да, потому что этот кусок схемы синтезируется в табличку истинности либо in=out либо in=~out, в итоге либо займет одну LUT либо вообще будет заменено дорожкой.

  2. #972
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Значит реализация данного кусочка не желательна в ПЛИС - на выходе никогда ничего не появится?
    P.S. В схеме ошибка элементы исключающие ИЛИ без инверсии.

  3. #973
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    ПЛИС - на выходе никогда ничего не появится?
    для этого есть специальные цифроаналоговые умножители в составе некоторых чипов, можно самодельный сделать но надо снаружи транзюк и конденсатор как минимум, есть еще косяковые методы с отключением оптимизатора, и делать для задержки цепочки lcell
    Последний раз редактировалось ZEK; 12.02.2012 в 22:28.

  4. #974
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    fifan, такая асинхронная схема, наверно, заработает, если правильно задать констрейнты.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  5. #975
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Вот известная схема удвоения частоты.
    В ПЛИС подобные схемы - однозначные генераторы глюков...
    Если надо удваивать частоту - надо использовать встроенную PLL.
    Хотя, если очень хочется, то попробовать такую схему собрать можно, только надо писать ее соответствующе...

    out = LCELL(in xor LCELL(LCELL(in))); - на AHDL

    В MAX-Plus II с CPLD такое вполне проканало... а с FPGA были проблемы...

    На VHDL такое не пишется, а если и записать то оно соптимизируется в
    out <= '0';

    В то же время, если удваиваемая частота не слишком высока и есть более высокая тактовая, то можно задержку сделать на D-тригере:

    out = DFF(in xor DFF(in,clk_up,,),clk_up,,);

    Tакая штука сгенерит два коротких импульса на каждом фронте сигнала in, но только при условии, что clk_up имеет частоту значительно выше, чем частота на входе in.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  6. #976
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я просто хочу получить частоту 4 МГц из 10 МГц входных. Решил сначала удвоить частоту, а затем поделить на 5. В семействе MAX7000S функций PLL почти нет. Я нашёл одну: внутренний генератор - мне не пойдёт.

  7. #976
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #977
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот как-то так можно получить 4 мегагерца из 10...

    CLK10 : input;
    CLK4 : output;

    VARIABLE
    CT[1..0] : DFF;
    C4 : NODE;
    BEGIN

    CT.clk = C4;
    CT[].d = CT[] + 1;
    C4 = LCELL(CLK10 xor CT[1]);
    CLK4 = CT[1];

    ---------- Post added at 05:06 ---------- Previous post was at 04:51 ----------

    ошибочка - это схема деления на 1.5

    Деление на 2.5:

    Код:
    SUBDESIGN test(
    CLK10 : input;
    CLK4 : output
    )
    VARIABLE
    CT[3..0] : DFF;
    C4 : NODE;
    BEGIN
    
    CT[].clk = C4;
    CASE CT[] IS
    	WHEN 0 => CT[] = 1;
    	WHEN 1 => CT[] = 2;
    	WHEN 2 => CT[] = 4;
    	WHEN 3 => CT[] = 4;
    	WHEN 4 => CT[] = 5;
    	WHEN 5 => CT[] = 6;
    	WHEN 6 => CT[] = 0;
    	WHEN 7 => CT[] = 0;
    END CASE;
    C4 = LCELL(CLK10 xor CT[2]);
    CLK4 = CT[2]; 
    
    END;
    только что в квартусе на симуляторе проверил.

    Подобным образом можно построить делитель на любой полуцелый коэфициент.

    п.с. Идея заимствована из журнала "Радио" из прошлого тысячелетия.
    Последний раз редактировалось WingLion; 13.02.2012 в 06:20.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  9. #978
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Что-то не вяжется с частотой. Входная - 10 МГц ~100 нС. Выходная - 500 нС ~ 2 МГц. В верхнем примере считается частота 10/2=5. Т.е. деление не в 2,5 раза, а в 5 раз.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	clk10_5.png 
Просмотров:	231 
Размер:	4.5 Кб 
ID:	33015  
    Последний раз редактировалось fifan; 13.02.2012 в 17:45.

  10. #979
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А можно поподробнее, хотя бы год за который журнал, если конечно там описывался принцип работы с ПЛИС? И вообще в журнале Радио что-то печаталось о ПЛИС, как о микроконтроллерах?
    это было во времена, когда ПЛИС еще и в помине не было...

    Схемка на рассыпухе была дана.
    Номеров не помню, ибо те времена и те интересы давно канули в лету...

    А про ПЛИС (и не только) сейчас печатается не мало в журнале "Компоненты и Технологии".

    ---------- Post added at 19:06 ---------- Previous post was at 18:51 ----------

    Цитата Сообщение от fifan Посмотреть сообщение
    Что-то не вяжется с частотой. Входная - 10 МГц ~100 нС. Выходная - 500 нС ~ 2 МГц. В верхнем примере считается частота 10/2=5. Т.е. деление не в 2,5 раза, а в 5 раз.
    Хм, и вправду накосячил... Не с того выхода счетчика сигнал снял...

    Обновленная схема:

    SUBDESIGN test(
    CLK10 : input;
    CLK4 : output
    )
    VARIABLE
    CT[3..0] : DFF;

    C4 : NODE;

    BEGIN

    CT[].clk = C4;
    CASE CT[] IS
    WHEN 0 => CT[] = 1;
    WHEN 1 => CT[] = 2;
    WHEN 2 => CT[] = 4;
    WHEN 3 => CT[] = 4;
    WHEN 4 => CT[] = 5;
    WHEN 5 => CT[] = 6;
    WHEN 6 => CT[] = 0;
    WHEN 7 => CT[] = 0;
    END CASE;

    C4 = LCELL(CLK10 xor CT[2]);

    CLK4 = CT[1];

    END;

    END;
    Последний раз редактировалось WingLion; 13.02.2012 в 20:10.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  11. #980
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Задний фронт слишком затянут: 150 нС против переднего 100 нС. Может не прокатить. Я хочу данный делитель поставить в контроллере дисковода к Специалисту_МХ. Данное несоответствие может сказаться на читаемость дискет.

Страница 98 из 191 ПерваяПервая ... 949596979899100101102 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •