User Tag List

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 97

Тема: Львов 48кб 1988-го на РУ6 и РУ5

Комбинированный просмотр

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #1
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Как и предполагал ,дело у меня думаю в INT , вот фото с моего Харьков и фото с эмуля emuzwin в режиме фирменного 48к , все один в один до такта и общей скорости , так что надо по свободе открыть схему и потягать чуток int.

    Харьков




    Эмуль



    - - - Добавлено - - -

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    Это с эмулятора у меня на компьютере точно также.
    А ссылку на тест можно , тоже интересно Харьков проверить.

    - - - Добавлено - - -

    Вот что Харьков показывает

    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #2
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от JV-Soft Посмотреть сообщение
    А ссылку на тест можно , тоже интересно Харьков проверить.
    TactsTest.zip

  4. #3
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Выше кинул фото ,отличие в адресах #C000 - #FFFF
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  5. #4
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Все таки подключил Львов, вот, что у меня Нажмите на изображение для увеличения. 

Название:	180313-200719-687.jpg 
Просмотров:	338 
Размер:	37.4 Кб 
ID:	64606Нажмите на изображение для увеличения. 

Название:	180313-201505-316.jpg 
Просмотров:	365 
Размер:	63.5 Кб 
ID:	64607

  6. #5
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    SERGEY256, учитывая что времянки одинаковые думаю надо двигать INT относительно кадрового синхроимпульса.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  7. #6
    Member
    Регистрация
    28.02.2005
    Адрес
    г.Волгоград
    Сообщений
    75
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    12 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    JV-Soft запусти еще вот этоIntTest.zip у тебя в тесте пишет что Int слишком короткий, у меня тоже такое было и в тесте INT был на границе между "мала" и "норма" я увеличел емкость конденсатора С11 до 1500 пф и теперь длительность нормальная Нажмите на изображение для увеличения. 

Название:	180313-202633-158.jpg 
Просмотров:	302 
Размер:	45.7 Кб 
ID:	64610.
    Здесь можешь скачать и посмотреть схемуhttp://www.zx-moscow.ru/lvov?i=1
    А вот как задержать или ускорить приход Int я не знаю.

  8. #7
    Guru
    Регистрация
    14.05.2015
    Адрес
    г. Харьков, Украина
    Сообщений
    2,940
    Спасибо Благодарностей отдано 
    150
    Спасибо Благодарностей получено 
    302
    Поблагодарили
    171 сообщений
    Mentioned
    4 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    JV-Soft запусти еще вот этоIntTest.zip
    Ок завтра попробую.

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    А вот как задержать или ускорить приход Int я не знаю.
    Пока не хватает времени на эксперименты , посмотрю схемы ,может что то по ним напишу.
    Увы общий формат форума вышел из рамок моего любимого места , более тут не бываю.

  9. #8
    Master
    Регистрация
    11.04.2006
    Адрес
    Novosibirsk
    Сообщений
    967
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    8
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    А вот как задержать или ускорить приход Int я не знаю.
    Отложить можно RC цепью. В большинстве клонов так CAS из RAS делают. А вот сделать раньше - уже надо по индивидуальной схеме череп морщить.

  10. #9
    Guru Аватар для bigral
    Регистрация
    12.07.2006
    Адрес
    г. Киев, Украина
    Сообщений
    2,147
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    95
    Поблагодарили
    82 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от SERGEY256 Посмотреть сообщение
    Здесь можешь скачать и посмотреть схемуhttp://www.zx-moscow.ru/lvov?i=1
    Сайт пропал! вместе со схемой Львов-48, может еще у кого-то осталось в архиве?

  11. #10
    Guru
    Регистрация
    27.02.2005
    Адрес
    москва
    Сообщений
    13,798
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    1,191
    Поблагодарили
    784 сообщений
    Mentioned
    18 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    я бы сначала проверил тест (название/ссылку забыл) где полоску надо подгонять на край paper
    и там сообщается на каком такте начинается её рисование

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Компьютер "Львов ПК-01"
    от Максагор в разделе Львов
    Ответов: 226
    Последнее: 08.12.2020, 00:49
  2. Классное новое видео про 1988 год
    от AAA в разделе Новости
    Ответов: 4
    Последнее: 14.10.2008, 17:52
  3. Дельта-С 48КБ
    от Sonic в разделе Дельта-С
    Ответов: 1
    Последнее: 02.07.2007, 10:34

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •