Важная информация

User Tag List

Страница 2 из 10 ПерваяПервая 123456 ... ПоследняяПоследняя
Показано с 11 по 20 из 97

Тема: Реинкарнация Вектор ПК-6128ц

  1. #11
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ivagor Посмотреть сообщение
    Вариантов много
    Да, вот ещё вариант пришёл в голову: можно сделать обращение к ВВ55 по двум адресам, один стандартный, 00-03, и второй альтернативный, допустим, C0h-C3h. При записи во второй диапазон портов U28 и U29 затрагиваться не будут. С таким вариантом можно и писать новые программы, и легко пропатчить существующие.

  2. #12
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Схема обновлённого ПК6128 на текущем этапе:


    • Немного изменил схему формирования сигнала КСИ -- вместо объединения КСИ и ССИ через "исключающее или" использовал свободный триггер.
    • Сделал гасящий синхроимпульс, во время кадра совпадает с ССИ, и на всю длительность КСИ гасит сигнал.
    • Изменил схему записи палитры -- в схеме ПК6128 микросхемы РУ2 были открыты всегда, кроме некоторого времени перед КСИ и в конце КСИ. Теперь РУ2, при отсутствии сигнала записи в порт 0Ch, будут отключаться при ГСИ.
    • Исправил ошибку -- на СХА2075 сигнал синхронизации подавался в инверсном виде относительно того, что там требуется.
    • Исправил сигнал RDM в схеме формирования СТЕК -- в предыдущем варианте возможны были коллизии при использовании прямого доступа в память процессора 8085. Косяк небольшой, да и проявился бы только в случае появления соответствующей периферии, но всё же...
    • Изменил схему подключения джойстиков -- на ПК6128, оказывается, был свой вариант, программно несовместимый с существующими. Сделал также, как на Векторе 06ц02, плюс аппаратно совместимыми с джойстиками Atari/MSX, на разъёмах D9 (как com-порт).

    Ну и уже практически нарисовал все платы, осталось доделать те 20%, на которые уйдёт 80% времени.

  3. Эти 5 пользователя(ей) поблагодарили Improver за это полезное сообщение:

    electroscat (29.12.2022), ivagor (29.12.2022), Mr-Linker (05.01.2023), Napoleon1 (02.01.2023), svofski (29.12.2022)

  4. #13
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    Smile

    Небольшое обновление схемы и большое обновление проекта:

    Страница 1:


    Страница 2 (дополнения):


    Основные изменения:
    • D25 заменил на 74HC138 и U24 на 74hc139 -- чипы 74HC155 (и аналогичные) в исполнении SMD почему-то сложно найти в продаже, есть только DIP. В части D25 эта замена даже немного упростила схему, а на U24 наоборот, усложнила. Кроме того, на U24 добавил джампер для отключения сброса счётчика сдвига экрана и цвета бордюра (порты 02 и 03) при записи конфигурации в РУС ВВ55. Не то, чтобы этот джампер там особо нужен, просто для эксперимента на совместимость с ПО Вектора...
    • Добавил в схему часы RTC на DS12885 (вторая страница), подключение выполнено по омской схеме для Вектора, с учётом документации на более свежий чип DS12885.


    Ну и самое главное: разводка плат полностью готова, проект выложил на гитхаб.
    Гербер-файлы для заказа пока не делал, т.к. это ещё не финал, схему и платы надо бы повторно проверить перед изготовлением, возможно переосмыслить что-то.

    Ну и картинка с разводкой плат, просто для общего представления:

    При экспорте в картинку почему-то меняются местами верхний и нижний слои разводки, но для просмотра без запуска протеуса сойдёт... Вторая картинка с выключенным нижним слоем.
    Последний раз редактировалось Improver; 16.01.2023 в 09:45. Причина: Добавил картинку с верхним слоем разводки

  5. Эти 2 пользователя(ей) поблагодарили Improver за это полезное сообщение:

    ivagor (13.01.2023), thetrik (25.01.2023)

  6. #14
    Member
    Регистрация
    19.09.2022
    Адрес
    г. Саров
    Сообщений
    31
    Спасибо Благодарностей отдано 
    18
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    10 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Не совсем понятно - плата что - двусторонняя? Не понятно где полигоны под питание и землю.

  7. #15
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Napoleon1 Посмотреть сообщение
    Не совсем понятно - плата что - двусторонняя?
    Да, двух слоёв для такого размера плат и плотности элементов вполне достаточно. Добавил в исходное сообщение картинку с отключённым нижним слоем, для видимости верхнего слоя.

    Цитата Сообщение от Napoleon1 Посмотреть сообщение
    Не понятно где полигоны под питание и землю.
    Распределены по двум слоям. На нижнем слое есть заполнение землёй, а питание идёт по кругу платы с дублированием и более толстыми дорожками. Выделять отдельные линии для просмотра удобнее в протеусе, всё же, не на картинке.

  8. #16
    Member
    Регистрация
    19.09.2022
    Адрес
    г. Саров
    Сообщений
    31
    Спасибо Благодарностей отдано 
    18
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    10 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну дело хозяйское. На низких частотах работать будет, конечно.
    Хотя если посмотреть осциллографом цифровые схемы на платах без сплошного полигона земли - это полный абзац.
    К слову - а зачем базовое ОЗУ и процессор на отдельных платках?

  9. #16
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  10. #17
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Napoleon1 Посмотреть сообщение
    Ну дело хозяйское. На низких частотах работать будет, конечно.
    Вектор работал, и тут частоты не выше, максимум те же 6МГц, не считая генератора...

    Цитата Сообщение от Napoleon1 Посмотреть сообщение
    Хотя если посмотреть осциллографом цифровые схемы на платах без сплошного полигона земли - это полный абзац.
    Можно ещё верхний слой залить землёй, как считаете, имеет это смысл? А переходить на 4 слоя я что-то не вижу необходимости, хотя это и позволит немного уменьшить плату, милиметров на 15-19 по высоте.

    Цитата Сообщение от Napoleon1 Посмотреть сообщение
    К слову - а зачем базовое ОЗУ и процессор на отдельных платках?
    Тут всё просто -- это для "гибкости" конструкции. Т.е. с таким дизайном можно легко заменить процессор на z80, или на КР580ВМ80А, а то и на нечто на плис, просто заменив одну платку. Ещё можно, теоретически, разогнать процессор независимо от всей остальной части ПК...
    И память тоже тут легче заменить -- можно на других чипах собрать, попробовать вернуться к драм, или 32-пиновые симы приспособить, но более интересен последующий переход на 8 бит и последовательное чтение экранных байтов, как было сделано в моей графической карте.

  11. #18
    Member
    Регистрация
    19.09.2022
    Адрес
    г. Саров
    Сообщений
    31
    Спасибо Благодарностей отдано 
    18
    Спасибо Благодарностей получено 
    27
    Поблагодарили
    10 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Полигон сверху залить можно - будут максимально широкие дорожки, но радикально это ничего не поменяет. Хотя это лучше для производства - меньше расход травильного раствора.
    У вас компоновка и в целом размер платы исходя из Векторовского расположения разъёмов выполнена. Если к этому не привязываться, то на 4-х слойке можно сделать компактнее и, соответственно, по цене изготовления на такую же сумму выйти.
    Я по работе платы развожу, поэтому выполнение питаний не полигонами вызывает у меня ментальный диссонанс :-). И даже на такой низкой частоте с полигонами земли сигналы на порядок чище получаются.

  12. #19
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Работа над ошибками:
    • Исправил переключение адреса на U13 и U14, теперь оно происходит по сигналу MX1. Использование там /CAS приведёт к тому, что адрес на ВУ будет запаздывать по отношению к /CAS и ошибкам на внешних квази-дисках.
    • Попутно на свободном элементе U10:A сделал отключение сигнала /CAS на ВУ во время обращения к графике. Как альтернативу тут можно было бы просто изменить прошивку РЕ3, но решил оставить её ближе к оригинальной.
    • По совету Napoleon1 по максимуму залил землёй верхний слой.

    Из-за минимальности изменений в схеме публиковать картинки не вижу смысла, а проект на гитхабе обновил.

  13. Этот пользователь поблагодарил Improver за это полезное сообщение:

    thetrik (25.01.2023)

  14. #20
    Master Аватар для Improver
    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    983
    Спасибо Благодарностей отдано 
    443
    Спасибо Благодарностей получено 
    403
    Поблагодарили
    225 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Обновление проекта:
    • Заменил U29 на 74нс174, ну и ещё что-то по мелочам...
    • Решил использовать ПЗУ D10 на все 100%, для этого достаточно было вывод А4 переключить с земли на сигнал LD0, теперь во время показа бордюров и КСИ/ССИ из неё будет использоваться вторая половина адресов, с 16 по 31. Это даёт то, что теперь можно будет разрешить процессору доступ к памяти тогда, когда не считываются данные для графики. Прирост быстродействия при этом будет всего процентов на 5, если не ошибаюсь. Собственно, меня удивляет, почему это не было сделано в оригинальной схеме ПК-6128ц -- там всего-то пару проводков переключить.

    Прошивка D10 при этом будет выглядеть примерно так:

    Скрытый текст

    Код:
    Адр.     0 1 2 3  4 5 6 7  8 9 A B  C D E F -- совпадает с прошивкой ПК-6128ц
    D0(RATO) 0 0 0 0  0 0 1 1  1 1 0 0  0 0 0 0 -- доступ процессора к памяти
    D1(RAS)  1 0 0 0  0 0 0 1  1 0 0 0  0 0 0 1
    D2(CAS)  1 1 1 0  0 1 0 0  1 1 1 0  0 0 0 0
    D3(MX1)  1 1 0 0  0 0 0 0  1 1 0 0  0 0 0 0 -- 0 = адрес по CAS, 1 = адрес по RAS
    D4(MX2)  1 1 1 1  1 1 1 1  0 0 0 0  0 0 0 0 -- ОЗУ/Графика
    D5(FR6)  1 1 1 1  0 1 1 1  1 1 1 1  1 1 1 1 -- чтение первой пары регистров
    D6(FR7)  1 1 1 1  1 1 0 1  1 1 1 1  1 1 1 1 -- чтение второй пары регистров
    D7(FR1)  0 0 0 0  0 1 1 1  0 0 0 0  0 0 0 0 -- 13-й бит адреса (графика)
             ^^^^^^^^^^^^^^^^  ^^^^^^^^^^^^^^^^
                   Экран              ОЗУ
    
    Адр.    10 ...                            1F
    D0(RATO) 1 1 0 0  0 0 1 1  1 1 0 0  0 0 1 1 -- доступ процессора к памяти
    D1(RAS)  1 0 0 0  0 0 0 1  1 0 0 0  0 0 0 1
    D2(CAS)  1 1 1 0  0 0 0 0  1 1 1 0  0 0 0 0
    D3(MX1)  1 1 0 0  0 0 0 0  1 1 0 0  0 0 0 0 -- 0 = адрес по CAS, 1 = адрес по RAS
    D4(MX2)  0 0 0 0  0 0 0 0  0 0 0 0  0 0 0 0 -- ОЗУ/Графика
    D5(FR6)  1 1 1 1  1 1 1 1  1 1 1 1  1 1 1 1
    D6(FR7)  1 1 1 1  1 1 1 1  1 1 1 1  1 1 1 1
    D7(FR1)  1 1 1 1  1 1 1 1  0 0 0 0  0 0 0 1 -- тактовая частота
             ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
                             ОЗУ
    [свернуть]

    Или вот менее радикальный вариант второй части, с сохранением режимов работы процессора, как на ПК-6128ц, который я хочу использовать в начале:

    Скрытый текст

    Код:
    Адр.    10 ...                            1F
    D0(RATO) 0 0 0 0  0 0 1 1  1 1 0 0  0 0 0 0 -- доступ процессора к памяти
    D1(RAS)  1 1 1 1  1 1 1 1  1 0 0 0  0 0 0 1
    D2(CAS)  1 1 1 1  1 1 1 1  1 1 1 0  0 0 0 0
    D3(MX1)  1 1 1 1  1 1 1 1  1 1 0 0  0 0 0 0 -- 0 = адрес по CAS, 1 = адрес по RAS
    D4(MX2)  1 1 1 1  1 1 1 1  0 0 0 0  0 0 0 0 -- ОЗУ/Графика
    D5(FR6)  1 1 1 1  1 1 1 1  1 1 1 1  1 1 1 1
    D6(FR7)  1 1 1 1  1 1 1 1  1 1 1 1  1 1 1 1
    D7(FR1)  1 1 1 1  1 1 1 1  0 0 0 0  0 0 0 1 -- тактовая частота
                               ^^^^^^^^^^^^^^^^
                                     ОЗУ
    [свернуть]

    Для полного доступа к памяти пришлось входной сигнал на 13 вывод D52 заменить с MX2 на FR1, но и это на схему не сильно повлияло, но зато поставив единицу в седьмом бите по адресу 1Fh можно легко сместить изображение до идеальных временных задержек.
    И ещё, с этой доработкой чипы D9:B и D9:C становятся ненужными, но я ещё не решил, убрать их или оставить.

    Новая схема:




    Проект на гитхабе обновил, все нужные файлы там.

Страница 2 из 10 ПерваяПервая 123456 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ПК-6128Ц: Обсуждение
    от falanger в разделе Вектор
    Ответов: 313
    Последнее: 29.02.2024, 21:03
  2. Ответов: 56
    Последнее: 18.11.2023, 02:54
  3. ATM Turbo - РЕИНКАРНАЦИЯ
    от eXzmos в разделе ATM
    Ответов: 55
    Последнее: 01.10.2022, 21:15
  4. "ВЕКТОР ПК-6128Ц" - хотелось бы узнать побольше.
    от derfliegenddehollander в разделе Вектор
    Ответов: 19
    Последнее: 31.07.2022, 19:47
  5. ПК-6128Ц: воссоздание платы
    от sh686 в разделе Вектор
    Ответов: 6
    Последнее: 20.06.2014, 03:08

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •