Важная информация

User Tag List

Страница 64 из 67 ПерваяПервая ... 6061626364656667 ПоследняяПоследняя
Показано с 631 по 640 из 661

Тема: Еще один Орион на ПЛИС

  1. #631
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от LeoN65816 Посмотреть сообщение
    andreil, после "смены концепции" возникли вопросы:
    1. Проц не натуральный, а софтядро?
    2. В угоду пиксельклоку ты перешел на оконный шаринг памяти, отказавшись от двухпортовки?
    3. В угоду пиксельклоку у тебя такт 2.34375 МГц (и кратные турбы), а не 2.5 МГц (и кратные)? А как же совместимость? Особенно минимальная скорострельность при работе с КНГМД?...
    1) Да, но на второй платке можно и реальный проц разместить - шина в 64 сигнала на основном разъёме позволит такое сделать.
    2) Да. При пиксельклоке в 150МГц с удвоением пикселей очень внатяг для 4-х плоскостей, но работает
    3) На базовой частоте разница всего 6,6(6)%. ИМХО - не столь великая разница. КНГМД будет 100% эмулироваться на СТМке, поэтому не задавался вопросом таймингов и вейтов.

    - - - Добавлено - - -

    Всего 3 разрешения сейчас работают (последнее - с удвоением пикселей):

    • 640x480, 60Hz
    • 720x400, 70Hz
    • 1920x1080, 760Hz

    Разрешения так же будут переключаться с СТМки - там будет организовано системное меню, выводимое оверлеем поверх основной картинки. На это меню уйдёт приличная часть встроенной памяти.
    "Байт-48"

  2. #631
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #632
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от andreil Посмотреть сообщение
    1) Да, но на второй платке можно и реальный проц разместить - шина в 64 сигнала на основном разъёме позволит такое сделать.
    2) Да. При пиксельклоке в 150МГц с удвоением пикселей очень внатяг для 4-х плоскостей, но работает
    3) На базовой частоте разница всего 6,6(6)%. ИМХО - не столь великая разница. КНГМД будет 100% эмулироваться на СТМке, поэтому не задавался вопросом таймингов и вейтов.

    - - - Добавлено - - -

    Всего 3 разрешения сейчас работают (последнее - с удвоением пикселей):

    • 640x480, 60Hz
    • 720x400, 70Hz
    • 1920x1080, 760Hz

    Разрешения так же будут переключаться с СТМки - там будет организовано системное меню, выводимое оверлеем поверх основной картинки. На это меню уйдёт приличная часть встроенной памяти.
    Если к режиму 3 (где 1920x1080 и орионовский экран удваивается по осям) от которого отказываться нельзя ибо на Орионе ПРО есть 512х256, добавить четвертый режим где в 1920x1080 орионовский экран уже учетверяется по осям, то туда идеально вписывается орионовсикй режим 480х256 (480х4=1920 и 256х4=1024)
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  4. #633
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Если к режиму 3 (где 1920x1080 и орионовский экран удваивается по осям) от которого отказываться нельзя ибо на Орионе ПРО есть 512х256, добавить четвертый режим где в 1920x1080 орионовский экран уже учетверяется по осям, то туда идеально вписывается орионовсикй режим 480х256 (480х4=1920 и 256х4=1024)
    Всё можно сделать, но позже Пока я под реалии платы подгоняю тайминги памяти, потом займусь уже связыванием с СТМкой, что бы можно было управлять режимами. Но это дело далеко не 1 дня всё-таки...
    Через СТМку у меня будет полное управление, потому без неё никак - там и прошивка FPGA (вернее, её конф-ПЗУ) и оверлей, и управление режимами/переключателями самого компьютера.
    Последний раз редактировалось andreil; 01.04.2019 в 15:18.
    "Байт-48"

  5. #634
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Разработка потихоньку продвигается - начал писать программную часть для STM32. Пока что - тестирую оверлей. Фото теста (VK).
    Параметры оверлея - 256*256, монохром. Выводится поверх имеющегося изображения, замещая собой старшие биты одного из каналов цвета (в данном случае - зелёный). Буфер хранится в FPGA, периодически обновляемом микроконтроллером.
    На оверлее будут выводиться все меню контроллера - в противном случае пришлось бы как-то умещать на мелком-мелком дисплейчике, что не очень удобно. А так - и информацию можно выводить, и по "железу" ничего не изменилось.
    Меню будет доступно по сочетаниям клавиш подключаемой клавиатуры, нажатия кнопок с которой так же будут отправляться и в сам ПК.
    "Байт-48"

  6. #635
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А принцип затирания изображения какой? Меня интересует копируется ли куда-то затираемое изображение, ведь потом нужно вернуть все назад после свёртывания меню.

  7. #636
    Moderator
    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну так дополнительный же фрейм буфер ! В ФПГА!
    Когда нужен оверлей - будет идти сквозь него , а когда не нужен - напрямую из компа. Поэтому и не нужно хранить ничего из замещаемого - оно автоматом восстанавливается каждый кадр из компа.
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  8. #637
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    А принцип затирания изображения какой? Меня интересует копируется ли куда-то затираемое изображение, ведь потом нужно вернуть все назад после свёртывания меню.
    Вот кусок вывода:
    Код:
    R(5) <= RR;
    G(5) <= (GG  and (not stm_ov_hint)) or (stm_ov_preg(7) and stm_ov_hint);
    B(5) <= BB;
    R(4) <= RR and II;
    G(4) <= (GG and II and (not stm_ov_hint)) or (stm_ov_preg(7) and stm_ov_hint);
    B(4) <= BB and II;
    R(3 downto 0) <= (others => RR);
    G(3 downto 0) <= (others => GG);
    B(3 downto 0) <= (others => BB);
    stm_ov_preg - такой же сдвиговый регистр, как и для остальных цветов в оригинале.
    "Байт-48"

  9. #638
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Только надо не в зеленом замещать, а в каком-то другом. В Орионе по умолчанию монохром, и он черно-зеленый, поэтому зеленое меню поверх зеленого изображения будет как-то не кузяво. Оно имело бы смысл если бы видеовыход был однобитным (черно-зеленым), но у нас же как минимум аппаратно есть RGBI (не зависимо от того что гонит Орион). Или я недопонял идею автора.

    - - - Добавлено - - -

    Синий для меню ИМХО был бы норм (если план меню иметь однобитным), красный слишком алармичен.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  10. #639
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    Только надо не в зеленом замещать, а в каком-то другом. В Орионе по умолчанию монохром, и он черно-зеленый, поэтому зеленое меню поверх зеленого изображения будет как-то не кузяво. Оно имело бы смысл если бы видеовыход был однобитным (черно-зеленым), но у нас же как минимум аппаратно есть RGBI (не зависимо от того что гонит Орион). Или я недопонял идею автора.

    - - - Добавлено - - -

    Синий для меню ИМХО был бы норм (если план меню иметь однобитным), красный слишком алармичен.
    Всё будет меняться ещё много раз в этих местах, чую я
    Пока что занялся перетрассировкой платы - сильно много косяков было, в том числе в библиотеке KiCAD.
    До конца месяца закончу трассировку и отдам на производство, что бы к концу отпуска платы были на руках
    И да - там будет хватать мелочёвки (резисторы/конденсаторы - 0805 все, кроме 4-х):

    Это джамперы, которыми можно забайпасить интерфейсные чипы, если они не нужны. Шаг выводов чипа - 0,65мм Зато сразу полноценный двунаправленный преобразователь уровней - можно подключить хоть реальный Z80 с обвесом
    "Байт-48"

  11. #640
    Master Аватар для andreil
    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Плата почти готова и на днях отправится на производство...

    "Байт-48"

Страница 64 из 67 ПерваяПервая ... 6061626364656667 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 108
    Последнее: 03.05.2024, 02:15
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •