Важная информация

User Tag List

Страница 6 из 30 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя
Показано с 51 по 60 из 296

Тема: Altera DE1 - Development and Education Board в раше.

  1. #51
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fan Посмотреть сообщение
    А вы не попробовали разбить карточку на партиции по 24 Мб ?
    Как нибудь можно будет переключаться между ними ?
    С ходу не получилось, поэтому решил вопрос с ПО оставить на потом.
    Только что наконец подключил набортный Стерео Audio Codec взамен одноконального ШИМ, примененного в 1СhipMSX.
    Попробовал послушать звучание PSG и SCC кодеков - очень даже ничего.
    OPLL-кодек пока не нашел в чем работает, поэтому не опробовал.

  2. #52
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    OPLL-кодек пока не нашел в чем работает, поэтому не опробовал.
    В ALESTE 2 точно пиликает под OPLL , если оного не обнаруживает , то играет под AY .

    Вот только точно не уверен как игруха детектит наличие OPLL возможно потребуется вклинить ПЗУ HBF1XVMUS.ROM из MSX2+ - Sony HB-F1XV , чтоб опознавался как MSX Music . Но скорей всего и так должно работать .

    З.Ы. Вам случайно попопадались сырки кокогонить плеера для OPLL (под Z80) ?
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

  3. #53
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fan Посмотреть сообщение
    Вам случайно попопадались сырки какогонить плеера для OPLL (под Z80) ?
    Нет, не попадались.

  4. #54
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Итак готов первый вариант адаптации прошивки 1ChipMSX
    для Altera Cyclone II FPGA Starter Development Kit.

    Имеющие плату и желающие опробовать могут скачать отсюда:
    http://www.caro.k66.ru/files/07_06_16.zip
    файл обьемом 921 КБ содержит исходники и POF-файл результирующей прошивки.

    Набор файлов для записи на флешку и проверки работы:
    http://www.caro.k66.ru/files/msxdisk.zip
    обьем файла 66 КБ.

    Что имеем (кратко):
    1) Тактовая частота 3.5/10.5 Мгц - переключатель SW5(ON/OFF);
    2) Мапер на 4 Мбайта;
    3) Вывод звука через 16-ти битный встроенный аудио-кодек;
    4) Управление громкостью с клавиатуры:
    - общая: PgUp - больше, PgDn - меньше;
    - PSG: F9 - больше, Shift F9 - меньше;
    - SCC: F10 - больше, Shift F10 - меньше;
    - OPL: F11 - больше, Shift F11 - меньше;
    SW9 = OFF (ON - отключение звука);
    5) Выход на VGA-монитор - SW1,SW0 = OFF,OFF;
    6) Кнопка KEY0 - reset;
    7) Поддержка SD/MMC-карт - SW4 = OFF;
    8) SCC1 - SW2 = OFF;
    9) SCC2 - SW7,SW6 = OFF,OFF;
    10) SW3 - переключение раскладки клавиатур.

    Честно говоря я немного запутался в структуре получившегося
    "комбайна". Номера слотов, которые постоянно надо вводить в
    виде параметра программ меня в конец запутали.
    К сожалению так и не смог разбить SD-карточку на разделы,
    поскольку ни INITMMC.COM, ни DISKMAN.COM не хотят работать.
    Хорошего описания, которое бы могло помочь, к сожалению
    не обнаружил. Вполне возможно оно есть только на японском

  5. #55
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    К сожалению так и не смог разбить SD-карточку на разделы,
    поскольку ни INITMMC.COM, ни DISKMAN.COM не хотят работать.
    Наконец и с этой проблемой разобрался.
    Как оказалось версия BIOS заложенная в 1ChipMSX прекрасно работает с FAT16,
    а вот COMMAND2.COM по умолчанию работает с FAT12, не понятно правда как они стыкуються ,
    но после правки COMMAND2.COM с помощью PATCHCOM.COM (брать здесь: http://www.ucatv.ne.jp/~kmizuo/), система прекрасно
    видит весь доступный на карте обьем (проверил на 128MB и 256MB).
    Последний раз редактировалось caro; 02.07.2007 в 22:41.

  6. #56
    Activist Аватар для Retrograd
    Регистрация
    29.12.2006
    Адрес
    Одесса
    Сообщений
    435
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хочу заказать сабж. Звонил в Киев - заломили аж 240 долларов и 3 недели ожидания. В Москве, ЭФЕ - 186 зелных, но ожидание 8 недель. Решил плюнуть, и в начале августа закажу на terasic-е. С пересылкой в Украину получается 214 долларов. Во как.
    ZXM-Phoenix 1024 + ZXKit1, Sinclair ZX Spectrum+, АТМ 2 TURBO+, PentEVO rev. C, Speccy2007, Commodore C64, Amiga A1200, Amiga A500, MSX2, Atari 800XL, Atari 130XE + SDrive, Atari ST, Atari Jaguar, Altera DE1,

    +Небольшая стоечка в серверной комнате.

  7. #57
    Guru Аватар для fan
    Регистрация
    11.02.2005
    Адрес
    Москва
    Сообщений
    3,789
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    Наконец и с этой проблемой разобрался.
    Как оказалось версия BIOS заложенная в 1ChipMSX прекрасно работает с FAT16,
    а вот COMMAND2.COM по умолчанию работает с FAT12, не понятно правда как они стыкуються ,
    но после правки COMMAND2.COM с помощью PATCHCOM.COM (брать здесь: http://www.ucatv.ne.jp/~kmizuo/), система прекрасно
    видит весь доступный на карте обьем (проверил на 128MB и 256MB).
    Супер ! 10X !!!
    Спек128 - испанская выдумка, навязанная Сэру Клайву. © Shaos
    REAL HARDWARE MUST LIVE ! - http://SBLive.narod.ru/ZX-Spectrum/

  8. #58
    Master Аватар для ILoveSpeccy
    Регистрация
    20.03.2007
    Адрес
    Germany
    Сообщений
    867
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Смотрел исходники. Звук, если я правильно понял, идет через PWM.

    * Как звучание??? Я имею ввиду насколько звучание через PWM отличается от реального???
    * И какова частота CLK в модуле "esepwm.vhd"???

  9. #59
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ILoveSpeccy Посмотреть сообщение
    Смотрел исходники. Звук, если я правильно понял, идет через PWM.
    Да, в OCM выходной сигнал всех генераторов звука формируется модулем PWM.
    Причем звук монофонический, идет в оба канала.
    Выходные 5-ти битные ЦАПЫ на выходах звуковых каналов вообще не используются,
    однобитный выходной сигнал PWM выдается на все 5 бит ЦАП.

    Цитата Сообщение от ILoveSpeccy Посмотреть сообщение
    * Как звучание??? Я имею ввиду насколько звучание через PWM отличается от реального???
    На слух конечно отличается, описать в чем это отличие, затрудняюсь.

    Цитата Сообщение от ILoveSpeccy Посмотреть сообщение
    * И какова частота CLK в модуле "esepwm.vhd"???
    В качестве опорной частоты используется сигнал clk21m = 21.48 MHz (в DE1 = 21.00 MHz)

    В реализации OCM на Altera DE1 я оставил канал PWM, выведя его на один из пинов расширительных разьемов платы.
    Одновременно, 16-ти битный выходной сигнал микшера звуковых генераторов OCM подается на встроенный в DE1 Аудио Кодек.
    Таким образом можно сравнить как звучит PWM по сравнению с 16-ти битным ЦАП.
    Мне лично больше понравился звук генерируемый Аудио Кодеком

    PS. Что интересно, в исходнике OCM предусмотрен стерео-фонический выход с SCC-модуля,
    но как оказалось для его реализации просто не хватает ресурсов Cyclone II,
    причем не только EP2C12, которая применена в OCM, но и EP2C20, которая стоит в DE1.

  10. #60
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Задавшись целью оценить быстродействие
    реализованного на Altera DE1 клона MSX,
    я написал тест, подсчитывающий число
    реально выполненных тактов Z80 за один
    кадр видео, тоесть между двумя прерываниями.
    По этому значению (Takts per INT) можно
    подсчитать эффективную частоту процессора,
    тоесть частоту, на которой бы работал
    Z80 при отсутствии торможения сигналами
    /WAIT. Поскольку выпускаются MSX с
    частотой кадров 50 и 60 Гц, подсчет идет
    для обоих вариантов.
    И вот что у меня получилось на Altera DE1:
    1) При тактовой 3.5 Мгц
    Takts per INT = 53088
    For Fhsync=60Hz, Ftakt = 3.18 MHz (причину торможения нашел и устранил )
    2) В Turbo режиме при 10.5 Мгц
    Takts per INT = 119472
    For Fhsync=60Hz, Ftakt = 7.16 MHz

    Судя по всему такие же значения должны
    получится и на OCM (кто бы проверил?)

    На эмуляторе fMSX-DOS тест дает значения:
    Takts per INT = 64272
    For Fhsync=60Hz, Ftakt = 3.85 MHz
    For Fhsync=50Hz, Ftakt = 3.21 MHz

    На эмуляторе ruMSXemu тест дает значения:
    Takts per INT = 60000
    For Fhsync=60Hz, Ftakt = 3.60 MHz

    У кого есть возможность проверьте на
    реальном MSX, и сообщите результат.
    Вложения Вложения
    Последний раз редактировалось caro; 07.07.2007 в 18:49.

Страница 6 из 30 ПерваяПервая ... 2345678910 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. TommyGun - a retro development toolkit
    от TomCaT в разделе RST#38
    Ответов: 3
    Последнее: 05.10.2006, 02:54
  2. TommyGun - a retro development toolkit
    от 736b в разделе Программирование
    Ответов: 14
    Последнее: 29.09.2006, 15:12
  3. Insert Board( SoC)
    от andrews в разделе Несортированное железо
    Ответов: 22
    Последнее: 24.07.2006, 21:41
  4. Altera
    от serg.ne@ в разделе Несортированное железо
    Ответов: 60
    Последнее: 24.12.2005, 12:27
  5. Можно ли запихнуть Z80 в ALTERA
    от tilikum в разделе Несортированное железо
    Ответов: 71
    Последнее: 11.07.2005, 20:14

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •