Важная информация

User Tag List

Страница 24 из 41 ПерваяПервая ... 202122232425262728 ... ПоследняяПоследняя
Показано с 231 по 240 из 403

Тема: Дискуссии вокруг УКНЦ и прочее.

  1. #231
    Master
    Регистрация
    13.07.2018
    Адрес
    г. Переславль-Залесский
    Сообщений
    691
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    44
    Поблагодарили
    40 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    DMA - если перевести, прямой доступ к памяти. И всё...
    А уж как организован - дело десятое
    У процессора есть возможность работать через механизм DMR/SACK/DMGO...
    Но вот пользоваться ей или придумать что ещё... Тут решает проектировщик в соответствии с задачей.
    И УКНЦ в этом не одинока ...
    Были, например, отладочные стенды. Я видел один раз издаля там можно было что угодно положить в память. Вот только как там было сделано ???
    Были(более распространённые) эмуляторы ПЗУ, я такую плату даже в руках держал... Программа записывалась со второго компьютера.

  2. #232
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,999
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex_K Посмотреть сообщение
    т.к. доступ идёт к шине, а значит не только к памяти, но и к странице ввода/вывода.
    Не буду утверждать на 100 процентов, но что-то такое мне попадалось про некоторые процессоры, что устройство не может попасть на страницу в/в, только в память. Но - это надо описание кучи процов - чего, где и конкретно что попалось мне тогда на глаза. Память царапает - чего-то такое было у PDP-11/70 с его Massbus. Плюс - по любому это будет экзотикой.

    Ну и не всё, что доступно на странице в/в процесору - обязательно будет доступно устройству ПДП, например, часто PSW и регистры ДП не доступны по ПДП - например, F11/1811 J11/1831 (насколько мне не изменяет память) отличаются этим

    - - - Добавлено - - -

    Цитата Сообщение от Alex Посмотреть сообщение
    DMA - если перевести, прямой доступ к памяти. И всё...
    Если переводить дословно. Но для PDP-11 это ОДИН ИЗ ВАРИАНТОВ управления шиной

    - - - Добавлено - - -

    Цитата Сообщение от Alex Посмотреть сообщение
    А уж как организован - дело десятое
    В случае УК-НЦ и регистров доступ к памяти идёт МИНУЮ шину QBUS, так что нет, это не доступ ПДП на QBus

    - - - Добавлено - - -

    Цитата Сообщение от Alex Посмотреть сообщение
    У процессора есть возможность работать через механизм DMR/SACK/DMGO...
    Процессор вообще не работает через DMR/SACK/DMGO

  3. #233
    Master
    Регистрация
    13.07.2018
    Адрес
    г. Переславль-Залесский
    Сообщений
    691
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    44
    Поблагодарили
    40 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Мы же говорим про прямой доступ к памяти...
    Но не про использование QBus
    ПП "обходит" шину ЦП. Но эффект почти такой же, как от классического DMA. Данные в памяти или из памяти

  4. #234
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,999
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex Посмотреть сообщение
    Мы же говорим про прямой доступ к памяти...
    Но не про использование QBus
    И в УК-НЦ не используется от слова совсем QBus? Святым духом всё передаётся? В ВМ2 ЗАЛОЖЕНА на уровне проца использование QBus, а значит - ВСЁ РАЗГОВОРЫ о доступе к шине подчиняются ПРАВИЛАМ QBus!

    Вот родишь свой проц PDP-11 не для Unibus/QBus - тогда и поговорим

    Цитата Сообщение от Alex Посмотреть сообщение
    Но эффект почти такой же, как от классического DMA/
    О, у нас уже есть понятия классичесого ПДП? Или разговор всё таки про УК-НЦ?

    - - - Добавлено - - -

    Короче. Размешивать кашу в твоей голове мне надоело. Почитай САМ книжки про PDP-11 и как работают её шины. Тогда, может, и вернёмся к разговору.

  5. #235
    Master
    Регистрация
    13.07.2018
    Адрес
    г. Переславль-Залесский
    Сообщений
    691
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    44
    Поблагодарили
    40 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Доступ к видеопамяти ПП через что имеет? через регистры, кои работают в обход арбитража QBus...

    А он есть такой... И даже сделала сама Dec
    И даже он есть у дона Hunta
    Т-11 однако
    Ой, ещё один вспомнил Даже СССР
    Уже ещё один вспомнил...

  6. #236
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,999
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex Посмотреть сообщение
    Т-11 однако
    Причём здесь T11?

  7. #237
    Master
    Регистрация
    13.07.2018
    Адрес
    г. Переславль-Залесский
    Сообщений
    691
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    44
    Поблагодарили
    40 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Т-11 может работать не с QBus, ему синхронизация пассивного устройства не нужна.
    Ладно на FPGA ... Там уровни и токи другие, но логически это QBus, худо-бедно... Можно нормальную QBus вывести, если что через буффера...
    Но без синхронизации пассивного устройства - это уже не QBus...

  8. #238
    Guru
    Регистрация
    07.10.2007
    Адрес
    п.Пудость Гатчинского р-на Лен.обл.
    Сообщений
    3,208
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    607
    Поблагодарили
    399 сообщений
    Mentioned
    46 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Hunta Посмотреть сообщение
    Не буду утверждать на 100 процентов, но что-то такое мне попадалось про некоторые процессоры, что устройство не может попасть на страницу в/в, только в память. Но - это надо описание кучи процов - чего, где и конкретно что попалось мне тогда на глаза. Память царапает - чего-то такое было у PDP-11/70 с его Massbus. Плюс - по любому это будет экзотикой.

    Ну и не всё, что доступно на странице в/в процесору - обязательно будет доступно устройству ПДП, например, часто PSW и регистры ДП не доступны по ПДП - например, F11/1811 J11/1831 (насколько мне не изменяет память) отличаются этим
    Не буду утверждать про другие процы, не знаю. Но с Mix делали опыты с КМД ДВК. На платах МС1201.* с процессорами 1801ВМ1 и 1801ВМ2 сигнал BS формируется логикой на материнской плате. Соответственно из "окна" КМД я имел доступ к странице ввода-вывода и выводил текст через регистры терминала. С 1801ВМ3 оказалось хуже, он сам формирует сигнал BS, соответственно когда он в отключке, то этот сигнал не формируется. В этом случае этот сигнал должен формировать сам КМД и по схеме такое есть и вроде такое есть по результатам реверса 1801ВП1-095/096. Но увы, с 1801ВМ3 не заработало.

  9. #239
    Guru
    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    6,999
    Спасибо Благодарностей отдано 
    285
    Спасибо Благодарностей получено 
    631
    Поблагодарили
    531 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex Посмотреть сообщение
    Т-11 может работать не с QBus, ему синхронизация пассивного устройства не нужна.
    На этот счёт ничего сказать не могу, у меня знания T-11 (точнее - его особенности работы с наружкой) поверхностные. Даже в PDP-11X этот вариант - не рабочий. Вот руки дойдут, тогда буду знать больше.
    Вот только сейчас мы говорим о УК-НЦ - а в нём классический QBus со всеми компонентами, включая арбитра шины

    - - - Добавлено - - -

    Цитата Сообщение от Alex_K Посмотреть сообщение
    С 1801ВМ3 оказалось хуже, он сам формирует сигнал BS, соответственно когда он в отключке, то этот сигнал не формируется. В этом случае этот сигнал должен формировать сам КМД и по схеме такое есть и вроде такое есть по результатам реверса 1801ВП1-095/096. Но увы, с 1801ВМ3 не заработало.
    Если я не путаю (давно возился с MY последний раз) - там вообще много чего-то неправильного у MY в плане работы с сигналом BS, начиная с того, что плата не смотрит на него, а пытается декодировать ПОЛНЫЙ адрес с шины - и из-за этого она у меня не взлетела с 1831/J11 - эта парочка мало того, что выдаёт только 13-ти битный адрес + BS при обращении к странице в/в, так вроде что то ещё лепит своё (но вот это не точно - надо поднимать старые эксперименты) на A21-A13, и это что-то - не всё единицы. А когда я попробовал разобраться с декодированием адреса и наоборт - с выдачей адреса и BS в ПДП - то быстро запутался и плюнул на это дело.

  10. #240
    Guru
    Регистрация
    07.10.2007
    Адрес
    п.Пудость Гатчинского р-на Лен.обл.
    Сообщений
    3,208
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    607
    Поблагодарили
    399 сообщений
    Mentioned
    46 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Alex Посмотреть сообщение
    Т-11 может работать не с QBus, ему синхронизация пассивного устройства не нужна.
    Так там действительно нет прерывания TRAP4 или есть?
    В исходниках RT-11 во вторичном загрузчике при проверке существования регистров есть макрос T11NOP, который при условии переменной PDT$OP=1 вставляет команду NOP, ну почти как в Союз-НЕОН с его виртуальными регистрами.

    - - - Добавлено - - -

    Цитата Сообщение от Hunta Посмотреть сообщение
    Если я не путаю (давно возился с MY последний раз) - там вообще много чего-то неправильного у MY в плане работы с сигналом BS, начиная с того, что плата не смотрит на него, а пытается декодировать ПОЛНЫЙ адрес с шины - и из-за этого она у меня не взлетела с 1831/J11 - эта парочка мало того, что выдаёт только 13-ти битный адрес + BS при обращении к странице в/в, так вроде что то ещё лепит своё (но вот это не точно - надо поднимать старые эксперименты) на A21-A13, и это что-то - не всё единицы. А когда я попробовал разобраться с декодированием адреса и наоборт - с выдачей адреса и BS в ПДП - то быстро запутался и плюнул на это дело.
    А у него полное декодирование адреса и сделано потому что сигнал BS у него не входящий, а исходящий. Но как показала практика с 1801ВМ3 это особо не помогло. А так в новых прошивках даже есть п/п для вывода текста на терминал через "окно". Но эти п/п не используются.

Страница 24 из 41 ПерваяПервая ... 202122232425262728 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Материнки от УКНЦ и прочее.
    от tntpro в разделе Барахолка (архив)
    Ответов: 31
    Последнее: 13.06.2011, 23:21
  2. SDCC вокруг да около
    от andrews в разделе Программирование
    Ответов: 8
    Последнее: 26.03.2008, 08:16

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •