Важная информация

User Tag List

Показано с 1 по 10 из 172

Тема: A давайте разработаем собственный Z80 на VHDL.

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #1
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию A давайте разработаем собственный Z80 на VHDL.

    В продолжение темы про "российский комп"...

    Идея в следующем...

    Есть у меня заготовка для проектирования процессоров.
    Чтобы сделать из нее Z80 не хватает самой малости.
    Надо ее наполнять контентом, а именно, писать HDL коды для всех-всех-всех команд. У меня терпения не хватило после первых 40 штук...

    Цель - получение не абсолютной копии Zilog-овского Z80, а быстрой версии (в пределе 1 такт на команду), в которую при желании можно вставлять свои команды (я вот, хочу прямо вставить в него команды акселератора Sprinter-a)

    Тем, кому интересно, прошу на e-mail [email protected] (в теме письма писать - Z80, чтобы в спаме не затерялось сообщение).

    Плюсы для участников
    1. Получение конечного результата (если он будет) - VHDL кода для Z80 и его возможных расширений.
    2. Формально, бесплатный тренинг по VHDL и Quartus II на примере конкретного проекта.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  2. #1
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 135
    Последнее: 12.05.2020, 19:58
  2. Сырок FDC1772 в VHDL
    от fan в разделе Несортированное железо
    Ответов: 10
    Последнее: 24.03.2017, 16:45
  3. YM2149 - а вот кому VHDL код?
    от icebear в разделе Звук
    Ответов: 15
    Последнее: 11.01.2006, 14:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •