Важная информация

User Tag List

Страница 7 из 18 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя
Показано с 61 по 70 из 173

Тема: Помогите отладить пентагон-128

  1. #61
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    DATAsoft, Было бы здорово. Я сейчас на радиорынок куплю флеху и память, если самому не получится - в вс смогу подъехать. Скинь в личку телефон.

    Руководство по ремонту есть. Ни к чему не подтолкнуло ).

  2. #61
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #62
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    По поводу инта. В обвязке D51 у меня какие-то изменения. Конденсатор C6 одной ногой как и положено к 11 ноге D51, второй к 6 ноге D51. и Проводок с 5 ноги D51 к 11н D5.

    ---------- Post added at 11:02 ---------- Previous post was at 10:59 ----------

    А конденсатор C7 выкушен... Или отвалился... Хм...

    ---------- Post added at 12:21 ---------- Previous post was at 11:02 ----------

    Подпаял конденсатор - ничего не изменилось.

    ---------- Post added at 12:23 ---------- Previous post was at 12:21 ----------

    На INT всегда кстати высокий уровень.

    ---------- Post added at 13:02 ---------- Previous post was at 12:23 ----------

    Вообще кстати было бы интересно создать полное описание по всем сигналам. Как они выглядят, для чего служат, как меняются в зависимости от наличия процессора и РОМ.

  4. #63
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,732
    Спасибо Благодарностей отдано 
    357
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    и это нехорошо, должны быть импульсы с частотой 50гц. попробуй собрать как на принципиальной схеме и что с резистором R17 и диодом VD3? и еще - у тебя какая модель пентагон-128? 1991 года или позже?
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  5. #64
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    91 года.

    По какой схеме собирать? В этом месте на схеме вообще непонятки. По которой я смотрю схеме - на одном листе - всё как у меня (ну если убрать переделки). На схеме в альбоме вообще в этом месте чёрти-что - всё по-другому.

    Диод и резистор на месте.

  6. #65
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,732
    Спасибо Благодарностей отдано 
    357
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    я смотрю схемы от ВМГ с этого сайта - http://sblive.narod.ru/ZX-Spectrum/P...ntagon128k.htm
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  7. #66
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Попробую сам кондер поменять. Переделка я так понял направленна на увеличение частоты или длительности INT.

  8. #67
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,732
    Спасибо Благодарностей отдано 
    357
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от Demige Посмотреть сообщение
    Попробую сам кондер поменять. Переделка я так понял направленна на увеличение частоты или длительности INT.
    ок, но вообще смотри откуда он берется на входе. т.е. D5 8н. это кадровая и она запускает одновибратор инта. смотри откуда он у тебя берется.
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  9. #68
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну откуда он берется - частота есть. На 8 ноге D5. По переделке он переходит на вход D5 точнее на выход н8D9. Т.е. без проверки состояния B10 B11. Ну еще плюс инвертируется.

    Переделал как должно быть по схеме - проблема не решается.

    Скажите что должно быть на OE CS ROM2 DOSEN. CS я так понимаю земля должна быть (по схеме). Там так и есть.

    Матрац не всегда получается.

  10. #69
    Guru
    Регистрация
    16.12.2009
    Адрес
    Харьков
    Сообщений
    4,732
    Спасибо Благодарностей отдано 
    357
    Спасибо Благодарностей получено 
    369
    Поблагодарили
    238 сообщений
    Mentioned
    11 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    CS - 0, /OE - импульсы выборки пространства ПЗУ, /DOSEN в 1, ROM2 - 0

    ---------- Post added at 14:23 ---------- Previous post was at 14:21 ----------

    это для 128basic

    ---------- Post added at 14:24 ---------- Previous post was at 14:23 ----------

    инт после переделок появился на процессоре?
    Profi must live!

    Моё железо...

    1. Profi 5.06/1Mb(DRAM)+Profi5.06(UP)/HDD/3`5FDD/CF512Mb/SD-CARD
    3. Profi 6.2 Rev. B/1Mb/3`5FDD/HDD3.2Gb
    4. Profi 5.05(down)/1Mb+Profi 5.03(UP)/Pentagon_Fix
    Все укомплектованы:
    Profi_ZX-BUS/ZXMC2/NemoIDE/SounDrive
    [свернуть]

    Ссылка на Telegram-канал поддержки пользователей Profi.

  11. #70
    Master
    Регистрация
    21.01.2011
    Адрес
    г.Кстово
    Сообщений
    703
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    5
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да. Он там был но оочень короткий. Я поменял C6 на 22Нф. тогда стал заметен.

Страница 7 из 18 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 27
    Последнее: 15.05.2017, 11:55
  2. Ответов: 11
    Последнее: 08.04.2010, 23:07
  3. Пентагон 128=>>512
    от IlyaMIV в разделе Pentagon
    Ответов: 2
    Последнее: 16.01.2010, 07:05
  4. Помогите-е-е!!! Сдох Пентагон:(
    от Surfin_Bird в разделе Pentagon
    Ответов: 31
    Последнее: 19.01.2007, 12:06

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •