Важная информация

User Tag List

Страница 4 из 35 ПерваяПервая 12345678 ... ПоследняяПоследняя
Показано с 31 по 40 из 345

Тема: Специалист_МХ_FPGA на u10ep3c

  1. #31
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    ...расскажи пжалуста о цветном режиме. Схему я видел, такого дурдома схемотехнического более нигде не видел...
    Схема для режима "Стандарт" следующая - http://www.spetsialist-mx.ru/index3.html . Ту что ты видел это для "МХ". Её можно существенно облегчить. В первой необходимо записывать три бита в отдельное видео ОЗУ цвета организацией 12 к х 3 праллельно основному ОЗУ. Т.е. когда идёт обращение к основному ОЗУ по адресам 9000-BFFF три бита цвета снимаемые с порта С клавиатуры запиываются в ОЗУ. Считываемые биты с этого ОЗУ и несут информацию о цвете. В "МХ" записывается уже 8 битов вместо 3. Но, информация о цвете считывается не с порта С, а с шины данных по сигналу U1.
    Контроллер цвета в зависимости от режима (Стандарт/МХ) я использовал следующий (см. схему - http://www.spetsialist-mx.ru/schemes/color1.gif).
    Пояснения:
    1. RS/GS/BS - соответствующие выводы с порта С ВВ55;
    2. /RAMOE - соответствующая выборка с управления ОЗУ;
    3. U1 - сигнал управления регистром цвета в режиме МХ;
    4. ACK - синхронизация регистра атрибутов;
    5. BLANK - бланкирование чёрным цветом;
    6. VIDEO - чёрно-белый видео выход, в нём информация о погашеных/засвеченных точках.
    В режиме Стандарт не используемые биты (5 из 8) обнуляются - закарачиваются на массу на входах АП6. В нашем случае стоит поискать сигналы ACK и BLANK.

  2. #31
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #32
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А запись в "цветное ОЗУ" как осуществляется?
    В "Орионе", к примеру, ОЗУ цвета также лежит поверх основного видео-ОЗУ, адресация у них полностью совпадает, для записи цвета мы должны записать "1" в порт F900. Если записать туда "0", то мы вернемся к основной странице "черно/белого" ОЗУ.

    LD a,01
    LD (F900),a
    LD addr,cvet
    XOR a
    LD (F900),a

    Как это делается в Специалисте?

    ---------- Post added at 08:14 ---------- Previous post was at 07:51 ----------

    Цитата Сообщение от fifan Посмотреть сообщение
    Схема для режима "Стандарт" следующая - http://www.spetsialist-mx.ru/index3.html .
    Могу предположить, что здесь делается так:

    LD a,cvet
    LD (FF02),a
    LD a,video
    LD (videoRAM),a

    При этом по последней команде идет запись сразу и в видеоОЗУ, и в цветное ОЗУ. Верна догадка?
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #33
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    А запись в "цветное ОЗУ" как осуществляется?
    Как это делается в Специалисте?
    Собрать "Селектор адресов" -
    http://www.spetsialist-mx.ru/index8.html. Ну это в "МХ", а в "Стандарте" достаточно поставить АП6, регистр атрибутов и мультиплексор (на первых порах и он не нужен). Т.е. в стандартном Спеце просто считывались данные с порта С применимо для vhdl в момент записи в адреса 9000-BFFF. И все. В момент считывания с этих адресов считывать данные и с видео ОЗУ.

    P.S. для "селектора адресов" действует следующая таблица распределения памяти и адресов - http://www.spetsialist-mx.ru/index18.html

    Вот из моей программы. Вроде должно работать. Правда нужно ещё добавить wr_n.
    Код:
    ------------ Работа с видео ОЗУ цвета --------------------------
    process(clk,mode,portc,u1,do_buff)
    begin
    if (clk'event and clk = '1') then
    if mode = '1' then				-- режим "Стандарт"
    cd_in <= "00000" & not portc (4) & not portc (7) & not portc (6);	
    elsif u1 = '0'				-- режим "МХ"
    cd_in <= do_buff;			
    end if;
    end if;
    end process;

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    Верна догадка?
    Да. выходы порта С для входных сигналов цвета на видео ОЗУ цвета вроде таково:
    R - PC6,
    G - PC7,
    B - PC4.
    Если ошибся потом поправим. В тесте-м (Рюмике) после прорисовки вида клавиатуры начинается тест клавиатуры и по 2 можно перейти в тест цвета. Рисуются четыре полосы: красная, зелёная, синяя, белая и чёрная.
    Я намерено обхожу режим МХ. Он немного отличается от стандарта и лучше цвет запустить в стандарте, а потом собирать селектор адресов для перехода в МХ.
    Последний раз редактировалось fifan; 11.10.2010 в 08:41.

  5. #34
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    Ы? Кто здесь???

    И Ay! AY!
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  6. #35
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение
    И Ay! AY!
    Не предусмотрено. В МХ использовался К580ВИ53 для генерации звука.

  7. #36
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ну что, не прекрасные, но хорошие результаты. Залил прошивку. Тест-М запускается сразу. Скорость правда в 2 раза наверное быстрее, чем было в железке. Клавиши все перепутаны. Сейчас составляю соответствия нажатия, чтоб потом поменять в прошивке. Фотки опубликую позже.

  8. #37
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Скорость правда в 2 раза наверное быстрее, чем было в железке.
    4Mhz. Чтоб не уснуть.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  9. #38
    Master
    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    725
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    75
    Поблагодарили
    34 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    fifan
    Код:
    process(clk,mode,portc,u1,do_buff)
    begin
    if (clk'event and clk = '1') then
    if mode = '1' then				-- режим "Стандарт"
    cd_in <= "00000" & not portc (4) & not portc (7) & not portc (6);	
    elsif u1 = '0'				-- режим "МХ"
    cd_in <= do_buff;			
    end if;
    end if;
    end process;
    А зачем в списке чувствительности столько сигналов ? Разве недостаточно только clk ?
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  10. #39
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    106
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Не предусмотрено. В МХ использовался К580ВИ53 для генерации звука.
    Это я типо по аналогии со своими выступлениями в топиках про Орион (народ развлекаю).
    Ну и раз уж ударился в оффтопик, не могу не заметить - AY в советских копутерах это была единственная действительно рулезная доработка (ну, может кроме замены 8080 на Z80). Т.к. при минимуме аппаратных доработок давала просто таки прорывное количество уже готового музыкального материала (в играх, в трекерах). То, что было на ВИ53 или, стесняюсь сказать, бипере - было уныло чуть более чем полностью.

    Кстати, раз уж мы все тут собрались, подскажите пожалуйста: купил сегодня в Ашане за, страшно сказать, 49 рублей вот такой БП (см. вложение). Как считаете, не страшно к такому подключить reverse или Orion2010? По токам вроде с запасом... Корпус объемом примерно с два спичечных коробка. Написано БП для КПК Fujitsu (ну, они там все только типом разъема отличаются)
    Последний раз редактировалось Error404; 08.01.2015 в 14:46.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  11. #40
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от IanPo Посмотреть сообщение
    А зачем в списке чувствительности столько сигналов ? Разве недостаточно только clk ?
    А я так привык. В список чувствительности добавлять все переменные, которые находятся в присвоениях справа. Компилятор потом лишних вопросов не задаёт.

    По проекту. Изменил файл spetskeyboard.v. Звук ещё не подключал. Как надо? Последовательно с электролитом. Второй конец на землю. Плюс электролита к GPIO2?
    Ewgeny7, всё ж наверное нужно на проц подать 2 МГц, для полного стандарта? Привожу как обещал фотки работы проекта с тестом-м.
    Экран с "Рюмиком" показывает результат теста ОЗУ. Стилизованное изображение клавиатуры.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	1.jpg 
Просмотров:	1321 
Размер:	32.5 Кб 
ID:	21281   Нажмите на изображение для увеличения. 

Название:	2.jpg 
Просмотров:	278 
Размер:	26.5 Кб 
ID:	21282  
    Последний раз редактировалось fifan; 11.10.2010 в 15:24.

Страница 4 из 35 ПерваяПервая 12345678 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Орион-2010 на u10ep3c
    от Ewgeny7 в разделе Орион
    Ответов: 141
    Последнее: 19.10.2013, 23:51

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •