Важная информация

User Tag List

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя
Показано с 41 по 50 из 91

Тема: Тесты ВМ1

  1. #41
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Patron Посмотреть сообщение
    Чтобы немного лучше разобраться в вопросе - можно выполнить подряд по три одинаковых команды TST (R0) и CMP (R0),R0 и если их времянки
    Так R0 для смежных комманд уже не будет указывать на адрес следующей команды? Или это уже не суть важно для этого теста?

    Цитата Сообщение от Patron Посмотреть сообщение
    БК-0010.01 @ 3MHz - очень интересный объект для тестирования, но для нормального запуска тестов и вывода результатов - её было бы полезно подключить к PC через последовательный порт.
    Можно на "эмуляторе ПЗУ" реализовать и UART, но с некоторым расползанием времянки и скорее всего без прерываний. Но я сейчас буду заниматься захватом видеовывода и выводом его через USB в окошко Windows, уже прикупил макетик на CY7C680013. Возможно туда же получится прикрутить и эмуляцию клавиатуры. Потому что телевизоры у меня далеко, второй VGA монитор есть, но надо скандаблер и жалко место на столе, кучку клавиатур тоже держать не хочется.

    Цитата Сообщение от Patron Посмотреть сообщение
    До сих пор, например, никто точно не знает, как на самом деле процессор БК работает с ОЗУ.
    Там стоит ВП1-037, с ОЗУ ведь работает она? А процессор на RPLY от нее ориентируется. Какие тут подробности интересны?

  2. #42
    Guru
    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    13,573
    Спасибо Благодарностей отдано 
    1,230
    Спасибо Благодарностей получено 
    1,793
    Поблагодарили
    690 сообщений
    Mentioned
    67 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от Patron Посмотреть сообщение
    Складывается впечатление, что большинству фанатов БК и 1801ВМ1 - их любимый компьютер и их любимый процессор откровенно не интересны. До сих пор, например, никто точно не знает, как на самом деле процессор БК работает с ОЗУ.
    В смысле не известно? Тайминги или что?

  3. #43
    Guru
    Регистрация
    11.09.2009
    Адрес
    Москва
    Сообщений
    4,777
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    122
    Поблагодарили
    61 сообщений
    Mentioned
    16 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Titus Посмотреть сообщение
    В смысле не известно? Тайминги или что?
    Непонятно, за счёт чего получаются наблюдаемые тайминги.

    Например, на БК-0011М @ 4 МГц :

    Код:
    	CMP	@Tab(R1), @#Addr 	6 x DATI		80 CLC
    	CMP	@Tab(R1), @Tab(R0)	7 x DATI		80 CLC


    ---------- Post added at 16:40 ---------- Previous post was at 16:22 ----------

    Цитата Сообщение от Vslav Посмотреть сообщение
    Так R0 для смежных комманд уже не будет указывать на адрес следующей команды? Или это уже не суть важно для этого теста?
    Совсем не важно.

    Можно на "эмуляторе ПЗУ" реализовать и UART, но с некоторым расползанием времянки и скорее всего без прерываний.
    Прерывания весьма желательны, но можно попробовать и без них - в пультовом порту "Электроники 85" тоже, говорят - нет прерываний.
    Если удастся сделать рабочий комплект RT-11 + HX для порта без прерываний - он будет грузиться и через пультовой порт Pro350 / Э-85.

    я сейчас буду заниматься захватом видеовывода и выводом его через USB в окошко Windows, уже прикупил макетик на CY7C680013.
    Это хорошо для запуска игр, но для загрузки RT-11 и запуска тестов нужен именно терминальный обмен через последовательный порт.

    Там стоит ВП1-037, с ОЗУ ведь работает она? А процессор на RPLY от нее ориентируется. Какие тут подробности интересны?
    Конечная задача, которую нужно решить - определение формулы расчёта количества тактов, которое потребуется для выполнения любой команды процессора БК, обращающейся к ОЗУ в циклах от 1 x DATI до 7 х DATI ( или 6 x DATI + DATO или 6 x DATI + DATIO ).

    Для начала, наверное, хорошо бы получить осциллограммы выполнения всех вариантов команды CMP с кодом команды и всеми аргументами в ОЗУ:
    Код:
                   R0  (R0)  (R0)+  @(R0)+  -(R0)  @-(R0)  Addr  @#Addr  @Tab(R0)
    
    Cmp     R1     14   35    35      49      36      49    49     49      61
    Cmp    (R1)    30   43    43      57      44      58    57     57      70
    Cmp    (R1)+   30   43    43      57      44      58    57     57      70
    Cmp   @(R1)+   43   57    57      70      58      71    70     70      83
    Cmp   -(R1)    31   44    44      57      45      58    57     57      71
    Cmp  @-(R1)    44   57    57      71      58      72    71     71      84
    Cmp    Addr    43   57    57      70      57      71    70     70      83
    Cmp  @Tab(R1)  56   70    70      83      71      84    83     83      96
    А потом то же самое для MOV и ADD.
    Последний раз редактировалось Patron; 13.04.2013 в 17:45.

  4. #44
    Guru
    Регистрация
    11.09.2009
    Адрес
    Москва
    Сообщений
    4,777
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    122
    Поблагодарили
    61 сообщений
    Mentioned
    16 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Новая загадка мистического процессора 1801ВМ1 ждёт своего решения:

    Выяснилось, что при задержке RPLY = 6*CLK - время выполнения NOP равно времени выполнения MOV R0,R0 , но при задержке RPLY < 1*CLK - MOV R0,R0 выполняется за 8 тактов, а NOP - за 9 тактов.

    Постановка задачи:

    Сделать настраиваемую задержку RPLY и определить, при какой минимальной задержке RPLY ( в тактах CLK ) время выполнения команд MOV R0,R0 и NOP становится одинаковым.

  5. #45
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    У меня RPLY на диаграммах какой-то странноватый - снимался прямо с ножки 39 процессора. На схеме БК-0010 нарисован триггер 155ТМ2, который синхронизирует этот сигнал со спадом CLC (ножка 1 процессора). На диаграммах такого не наблюдается - то ли неисправность, то ли схема на заводе и в этой части подшаманена - буду разбираться. Доделаю в PCAD плату эмулятора в разъем МПИ и продолжу с тестами.

    А вопрос такой - выходы у ВМ1 - все типа "открытый коллектор" - как ГОСТ 26765.51-86 (Интерфейс Магистральный Параллельный МПИ) требует?
    Есть желание ВМ1/2/3 к 3-вольтовой FPGA прицепить, если там "открытый коллектор", то можно попытаться вообще напрямую, без преобразования уровней - резисторы на +3.3V кинуть вместо +5V и все. С сигналом WTBT я самостоятельно разобрался - у него двойное назначение.
    Последний раз редактировалось Vslav; 15.04.2013 в 16:05.

  6. #46
    Guru
    Регистрация
    11.09.2009
    Адрес
    Москва
    Сообщений
    4,777
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    122
    Поблагодарили
    61 сообщений
    Mentioned
    16 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Отрохов ( разработчик ВМ1 ) писал, что у них вышел какой-то глюк с обработкой RPLY, из-за чего на этот вход процессора приходится ставить триггер. Но чем плохо без триггера и как именно триггер должен изменять тайминги приёма RPLY - я так и не понял.

  7. #46
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #47
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Patron Посмотреть сообщение
    Отрохов ( разработчик ВМ1 ) писал, что у них вышел какой-то глюк с обработкой RPLY, из-за чего на этот вход процессора приходится ставить триггер. Но чем плохо без триггера и как именно триггер должен изменять тайминги приёма RPLY - я так и не понял.
    С форума БК0010 "Тонкости и толстости ВМ1"
    Цитата Сообщение от anonymous

    ? anonymous - 12.02.2011 02:05
    ...
    Это одна из проблем ВМ1, заключающаяся в том, что у него микропрограммы работы с шиной вылетают в зависание полное иногда, если RPLY в цикле обмена сразу устанавливать с DIN/DOUT и сразу снимать - почему-то не умеет он работать с быстрыми устройствами, надо линию задержки вводить, чтоб отсрочить его появление и уход в пассивное состояние
    Повиснет на уровне автомата состояний шины я так понял, без внешнего задерживающего триггера. Но это не снимает вопрос чего у меня такой странный RPLY на картинках

  9. #48
    Guru
    Регистрация
    11.09.2009
    Адрес
    Москва
    Сообщений
    4,777
    Спасибо Благодарностей отдано 
    2
    Спасибо Благодарностей получено 
    122
    Поблагодарили
    61 сообщений
    Mentioned
    16 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Vslav Посмотреть сообщение
    Повиснет на уровне автомата состояний шины я так понял, без внешнего задерживающего триггера. Но это не снимает вопрос чего у меня такой странный RPLY на картинках
    Похоже, что необходима и достаточна задержка на полтакта - она и выполняется.

    ---------- Post added at 13:38 ---------- Previous post was at 13:23 ----------

    Хотя, не исключено, что достаточно и задержки до ближайшего фронта CLK, но задержку в половину такта оказалось проще реализовать.

  10. #49
    Guru Аватар для svofski
    Регистрация
    20.06.2007
    Адрес
    С.-Петербург
    Сообщений
    4,116
    Спасибо Благодарностей отдано 
    794
    Спасибо Благодарностей получено 
    658
    Поблагодарили
    403 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Vslav Посмотреть сообщение
    Но я сейчас буду заниматься захватом видеовывода и выводом его через USB в окошко Windows, уже прикупил макетик на CY7C680013.
    Я такое уже делал: http://sensi.org/~svo/bkvideo -- вдруг пригодится.
    Больше игр нет

  11. #50
    Guru Аватар для Vslav
    Регистрация
    31.03.2013
    Адрес
    г. Киев
    Сообщений
    2,413
    Спасибо Благодарностей отдано 
    132
    Спасибо Благодарностей получено 
    755
    Поблагодарили
    353 сообщений
    Mentioned
    86 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Разобрался насчет REPLY. У меня БК-0010 "переразведенка", с подшаманенной на заводе схемой. RPLY поступающий с разъема МПИ и с вывода 34 ВП1-037, объединяются на ЛА3 D7.4 и инвертируются на ЛН1 D5.4 как на штатной схеме. А дальше на моем экземпляре установлен ИР1, который попутно формирует видеовывод, и тактируется от 12МГц, и вот на одном из его свободных от видеовывода разрядов сделали задержку RPLY на четверть такта процессорной частоты (то есть на 80нс примерно).
    Далее еще интересный момент - самый первый RPLY на моих диаграммах - при чтении SEL1 - он формируется отнюдь не ВП1-037, а самостоятельно внутри процессора. Поэтому у него такая времянка. Любопытно, в многопроцессорной системе, куда перезжают эти регистры 177714 и 177716 - не могут же они в этом случае не зависеть от номера процессора.

Страница 5 из 10 ПерваяПервая 123456789 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 8 (пользователей: 0 , гостей: 8)

Похожие темы

  1. Тесты железа
    от Almaz в разделе Софт
    Ответов: 3
    Последнее: 18.03.2006, 02:33

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •