User Tag List

Страница 7 из 10 ПерваяПервая ... 345678910 ПоследняяПоследняя
Показано с 61 по 70 из 93

Тема: Орион-128: Первые опыты постройки компьютера на ПЛИС от ewgeny7

  1. #61
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,923
    Спасибо Благодарностей отдано 
    179
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Тут все просто. TRIstate_buffer управляется "1". Если "0" на управлении, то он переходит в Z-состояние.
    ПЗУ и ВВ55 висят прямо на шинах проца. Поэтому при чтении ПЗУ (112) или ВВ55 (cs0 или cs1) выводы Альтеры НЕ ДОЛЖНЫ переключаться на вывод информации, а быть в Z-состоянии. При чтении информации по RD&MREQ сигнал 73 имеет "0", а 74 "1". Если мы читаем RAM или внутренние порты (в Орионе - ячейки памяти), то на входах AND4 получим четыре "1", т.е. выводы альтеры перейдут в режим "вывода информации". При записи в RAM (порты) RD="1", 74="0", 73="1". Альтера открывает пины на ввод информации по активному 73.
    Ну тогда остается вопрос почему же у тебя идет только процессорный доступ. Не работает у тебя циклы чтения видео. Как говорится процессор пожирает у тебя почти 100% времени, исключая редкие циклы видео(мусор). Либо что то в библиотеке, либо фиг его знает. Все же попробуй без проца запустить - будет чистый матрац или нет?
    И таким же макаром чтобы сингнал SNY был равен 0, чтобы хаотичный мусор показывал(работа видео цикла).
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  2. #61
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #62
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Mick Посмотреть сообщение
    Все же попробуй без проца запустить - будет чистый матрац или нет?
    Да есть матрац, только сумлеваюсь я... Слишком уж он... однообразно ровный. Биты 0-2 всегда "1", остальные всегда "0". Даже мусора не появляется. Скребутся мысли, что сима не хочет работать с такими сигналами...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #63
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,923
    Спасибо Благодарностей отдано 
    179
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Да есть матрац, только сумлеваюсь я... Слишком уж он... однообразно ровный. Биты 0-2 всегда "1", остальные всегда "0". Даже мусора не появляется. Скребутся мысли, что сима не хочет работать с такими сигналами...
    Ну почему же так сразу. У стандартного Ориона тоже полосатый матрац и без мусора. Полоски происходят из-за того что регистр защелка(74373) так защелкнула(то что было в памяти). Для интереса сравни с нормальным Орионом - наверяка также будет.
    И еще сделай SNY принудительно 0, по идее у тебя будет хаотичная картинка, но не матрац. Тогда мы отвяжемся от управления видео.
    Последний раз редактировалось Mick; 25.01.2009 в 22:52.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  5. #64
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Плюнул на это безобразие.
    Начал потихоньку ваять этот же Орион на VHDL.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  6. #65
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,923
    Спасибо Благодарностей отдано 
    179
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ewgeny7 как там дела то продвигаются, интересно же Матрац хаотичный был или нет?
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  7. #66
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Нет, конечно.
    Поменял еще одну СИММку. Теперь матрац стал более правильным, "узорчатым".
    С процем экран шебуршит, без него отдельные точки мерцают. СИН ничего не изменил.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #67
    Moderator Аватар для Mick
    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    9,923
    Спасибо Благодарностей отдано 
    179
    Спасибо Благодарностей получено 
    695
    Поблагодарили
    368 сообщений
    Mentioned
    19 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Нет, конечно.
    Поменял еще одну СИММку. Теперь матрац стал более правильным, "узорчатым".
    С процем экран шебуршит, без него отдельные точки мерцают. СИН ничего не изменил.
    Понятно, будем ждать когда ты вариант на VHDL напишешь.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  9. #68
    Super Moderator Аватар для Ewgeny7
    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    146
    Спасибо Благодарностей получено 
    76
    Поблагодарили
    51 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Хех, слепил на скорую руку синхрогенератор на VHDL. На телевизоре получилась картина ЗаМалевича "Белый квадрат"
    Начну прицеплять память. Пока не знаю, мож лучше сразу СРАМ привинчивать?
    Товарищи, ни у кого нету случаем информации о таймингах видеогенератора Ориона, типа длительности и расположение синхры ? Ато я наугад поставил.
    И еще, буду безмерно благодарен тому, кто толком расскажет, а еще лучше покажет на осциллограммах как работать с СИММ DRAM... Замучило меня оно...
    Внизу - типо Арион с его телевизором
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	DSCN3570.jpg 
Просмотров:	370 
Размер:	43.6 Кб 
ID:	10433  
    Последний раз редактировалось Ewgeny7; 27.01.2009 в 20:48.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #69
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Error404 Посмотреть сообщение

    Цитата Сообщение от ewgeny7
    Переделал прошу под Z80. Альтера практически под завязку. Пришлось мультиплексоры Z80Card вынести наружу (делаю по схеме "Радио-96/4").
    Теперь надо паять, паять...
    "Радио-96/4" - это практически самый худший вариант. Вариант Москва (он же Орион-Сервис). По крайней мере это так для программиста: ни прерываний (и не будет - т.к. там по EI\DI звук ), ни диспетчера 16к, да и особенности Z80 (65536 портов) при помощи тех самых мультиплексоров кастрированы до 256. Эти мультиплексоры (и соответствующую обвязку) лучше вообще не ставить - подумаешь, не заработает авторский бейсик и еще пара безграмотных программ, которые командой типа OUT 10h пишут (!) в ячейку (!) ОЗУ (!) 1010h.
    Вот может кому пригодится - во вложении образ диска где находится методика переделки московской Z80Card (Радио-96/4) в прогрессивную ленинградскую. Текст и схемы (картинки). Просматривать в эмуляторе.
    Последний раз редактировалось Error404; 25.03.2018 в 18:45.
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

  11. #70
    Moderator
    Регистрация
    14.08.2006
    Адрес
    Владимир
    Сообщений
    4,577
    Спасибо Благодарностей отдано 
    61
    Спасибо Благодарностей получено 
    107
    Поблагодарили
    92 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Хех, слепил на скорую руку синхрогенератор на VHDL. На телевизоре получилась картина ЗаМалевича "Белый квадрат"
    Начну прицеплять память. Пока не знаю, мож лучше сразу СРАМ привинчивать?
    Товарищи, ни у кого нету случаем информации о таймингах видеогенератора Ориона, типа длительности и расположение синхры ? Ато я наугад поставил.
    И еще, буду безмерно благодарен тому, кто толком расскажет, а еще лучше покажет на осциллограммах как работать с СИММ DRAM... Замучило меня оно...
    Внизу - типо Арион с его телевизором
    Есть прогресс?
    Лучше сделать и жалеть, чем не сделать и жалеть.

    Некоторые из моих поделок тут: https://github.com/serge-404

Страница 7 из 10 ПерваяПервая ... 345678910 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 1009
    Последнее: 01.05.2024, 01:23
  2. Ответов: 65
    Последнее: 14.11.2014, 08:05
  3. Ответов: 1
    Последнее: 05.09.2009, 14:51
  4. Ассемблер. Первые шаги.
    от Titus в разделе Для начинающих
    Ответов: 78
    Последнее: 10.01.2008, 12:27
  5. Первые итоги
    от CityAceE в разделе Форум
    Ответов: 25
    Последнее: 15.07.2005, 08:03

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •