Важная информация

User Tag List

Страница 99 из 191 ПерваяПервая ... 9596979899100101102103 ... ПоследняяПоследняя
Показано с 981 по 990 из 1901

Тема: ПЛИС и всё что с ними связано

  1. #981
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    э... фронты - это перепады из 0 в 1 и обратно... а не длительность состояния 0 и состояния 1...

    Если нужен меандр (одинаковые длительности нуля и единицы) - тогда надо ПЛИС с PLL использовать... а на простой логике из 10МHz меандр с 4MHz получить в принципе невозможно..., потому что 5 на 2 нацело не делится...

    ---------- Post added at 19:43 ---------- Previous post was at 19:41 ----------

    Цитата Сообщение от fifan Посмотреть сообщение
    Задний фронт слишком затянут: 150 нС против переднего 100 нС. Может не прокатить. Я хочу данный делитель поставить в контроллере дисковода к Специалисту_МХ. Данное несоответствие может сказаться на читаемость дискет.
    Кстати, смешной вопрос... Почему бы в ФАПЧ читалки дисковода напрямую 10 MHz не использовать?
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  2. #982
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от WingLion Посмотреть сообщение
    ... а не длительность состояния 0 и состояния 1...
    Да верно, не так написал.
    Цитата Сообщение от vlad Посмотреть сообщение
    fifan, ставьте второй кварц и будет все без заморочек... Нет, то подберите CPLD с PLL.
    Второй кварц не пойдёт - сейчас уже не проходит...
    CPLD с PLL тоже не нужно. У меня только EPM7064SLC44 и то на основной плате.
    Грустно. нужно на обычных ЛП5 и ИЕ5 делать... Всем спасибо, все свободны.

  3. #983
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от WingLion Посмотреть сообщение
    Схемка на рассыпухе была дана.
    А можно схемку приложить?
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  4. #984
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от lisica Посмотреть сообщение
    А можно схемку приложить?
    А чем плох приведенный ранее AHDL код?

    p.s. того номера журнала и той схемки у меня давно не осталось.
    Остался только принцип в голове... и инфа, откуда он появился.
    плюс, реализация на AHDL, на написание которой ушло несколько минут.
    Кстати, рисование схемы займет намного больше времени.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  5. #985
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от lisica Посмотреть сообщение
    А можно схемку приложить?
    Вот еще интересная статья

  6. #986
    Veteran Аватар для lisica
    Регистрация
    19.12.2008
    Адрес
    Черкассы
    Сообщений
    1,573
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    13 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от WingLion Посмотреть сообщение
    А чем плох приведенный ранее AHDL код?
    Только в том, что я в нём не разобрался... VHDL пока осваиваю.
    Робик 1024(пентагоновские тайминги),+ 7Мц+, 2AY(TS),+ контроллер дисковода,+ 3.5,+ 5.25 флоп,+CMOS,+ mouse(caro),+ Flash.
    Pentagon 128(1991г.)+YМ
    программатор 2732-27512.


  7. #987
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Та же схема на VHDL:

    Код:
     -- ИСПРАВЛЕННАЯ ВЕРСИЯ
    LIBRARY IEEE;
    USE IEEE.std_logic_1164.all;
    USE IEEE.std_logic_unsigned.all;
    
    entity test2 is
    Port(clk10 : in std_logic; clk4 : out std_logic);
    end test2;
    
    architecture rtl of test2 is
     signal ct : std_logic_vector (2 downto 0);
     signal c4 : std_logic;
    
    begin
    
        c4 <= clk10 xor ct(2);
        clk4 <= ct(1);
    
        process (c4) begin
            if c4'event and c4 = '1' then
                if ct = 2 or ct = 6 then 
                      ct <= ct + 2;
                else
                      ct <= ct + 1;
               end if;
            end if;
        end process;
    end;
    p.s. если VHDL будет освоен, AHDL может и не понадобится... Разве что для понимания того, что делают другие разработчики AHDL - это очень просто!
    Последний раз редактировалось WingLion; 14.02.2012 в 05:36. Причина: исправление ошибок в VHDL коде
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  8. #988
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    К сожалению, посоветовать конкретные книги по VHDL не могу...
    Сам когда-то плавал в VHDL, искал хорошую книжку - не нашел.
    Изучал VHDL на платных курсах (родной НИИ платил за обучение).

    Знаю (лично) одного автора, который книжки по VHDL пишет:
    http://www.ozon.ru/context/detail/id/2351693/

    Главная сложность VHDL заключается в том, что есть несколько конструкций языка, которые сложно понять. Объем написанных букв местами кажется излишним (особенно после того, как работал на AHDL).

    Но это надо принять как данность и не роптать. Тогда язык станет более понятным, когда будет заучено, что триггеры получаются так, конечные автоматы этак, а все остальное строится из них и из простой логики, которая записывается математическими выражениями.

    Плюс, некоторое особое оформление схем (я и сейчас не понимаю, зачем в этом оформлении столько всяких фенечек), и VHDL становится почти родным...

    п.с. а вообще, уже есть мысля, как написать статью наподобие той, про AHDL, но про "VHDL - это очень просто!"
    Последний раз редактировалось WingLion; 14.02.2012 в 05:19.
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

  9. #989
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от WingLion Посмотреть сообщение
    if c4'even and c4 = vcc then
    Ошибка, должно быть так:
    if c4'event and c4 = '1' then

  10. #990
    Activist Аватар для WingLion
    Регистрация
    27.07.2005
    Адрес
    St.Petersburg, Russia
    Сообщений
    208
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Ошибка, должно быть так:
    if c4'event and c4 = '1' then
    да-да, сначала написал здесь, потом в квартусе проверял работу (а надо бы наоборот), потому ошибки и остались...

    вот конечный вариант:

    Код:
    LIBRARY IEEE;
    USE IEEE.std_logic_1164.all;
    USE IEEE.std_logic_unsigned.all;
    
    entity test2 is
    Port(clk10 : in std_logic; clk4 : out std_logic);
    end test2;
    
    architecture rtl of test2 is
     signal ct : std_logic_vector (2 downto 0);
     signal c4 : std_logic;
    
    begin
    
        c4 <= clk10 xor ct(2);
        clk4 <= ct(1);
    
        process (c4) begin
            if c4'event and c4 = '1' then
                if ct = 2 or ct = 6 then 
                      ct <= ct + 2;
                else
                      ct <= ct + 1;
               end if;
            end if;
        end process;
    end;
    ...подключил к ZX-spectrum-у винчестер на 500Gb...
    вместо надписи "1982 (c) Sinclair Research Ltd." появилась надпись "O, Chudo!"
    С уважением, WingLion АКА Ivan Mak.

Страница 99 из 191 ПерваяПервая ... 9596979899100101102103 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4534
    Последнее: 04.04.2024, 23:32
  2. Ответов: 1187
    Последнее: 22.12.2023, 20:53
  3. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 487
    Последнее: 01.12.2023, 00:30
  4. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 844
    Последнее: 15.05.2023, 12:31
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •