Важная информация

User Tag List

Страница 33 из 35 ПерваяПервая ... 29303132333435 ПоследняяПоследняя
Показано с 321 по 330 из 345

Тема: Специалист_МХ_FPGA на u10ep3c

  1. #321
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Тест RAM-диска точно не работает, посмотрю всё строки связанные с обращением к дополнительной памяти. Как советовал Ewgeny7 попробую инвертировать clk в соответствующих процессах.

  2. #322
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Специалист на u10 готов. Правда выводимый звук в режиме МХ очень слабый. Вроде, придерживался схемы от Шевцова. Использовал исходники 8253 от ПК Вектор на ПЛМ от svofski.
    Напоминаю ещё раз клавиши управления:
    Scrool Lock - Тест вкл./выкл.;
    Pause или Num Lock - режим: Стандарт/МХ;
    Delete - сброс;
    Alt - РУС/LAT;
    Shift -НР.
    Остальные клавиши как в эмуляторе от b2m.
    Зашитые коды в память плисины: Тест-М, Тест_МХ, BIOS Loader V.5 HardWareManа (для загрузки образа ПЗУ (BIOS.BIN) с SD карты) и RAMFOS с ROM-диском пользователя с тестовыми программами.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	vi53_1.gif 
Просмотров:	548 
Размер:	3.9 Кб 
ID:	31611  

  3. #323
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Всё - звук в МХ появился, после переделки ROM-диска пользователя представлю прошивки.

    Проект потом можно будет портировать на u8, u9 и другие платформы. Минимальные требования - 512 кБайт SRAM ОЗУ, от 4 до 51 кБайта для прошивок, не менее 2200 ячеек. Я собираюсь перенести проект на свою плату.
    Последний раз редактировалось fifan; 18.12.2011 в 12:21.

  4. #324
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Пока нашлась ещё одна работа для u10 (какой универсальный конструктор!), а именно - PS/2 адаптер для Специалиста. Идея такова. Взять эмуляцию 8255 и PS/2 драйвер от отлаженной выше конструкции и засунуть в отдельную ПЛИС в виде небольшой платы вставляемой в панельку К580ВВ55 ППА клавиатуры. Т.к. проект занимает около 180 ячеек, то будущая плисина должна быть более вместительная чем EPM7128SLC84, например EPM240T100C5 с 3,3 вольтовым питанием. Вот схема.

    u10 Reverse будет отладочной платкой адаптера. Жаль что на ней не хватает ног GPIO для всех входов/выходов 8255 (необходимо 19). Схема формирует сигнал инверсного сброса для подачи на Специалист. Планирую также ввести вход для переключения режима Стандарт/МХ для переключения раскладок верхней строки функциональных клавиш клавиатуры Специалиста. Присутствует также пищалка для вывода звука и для работы требуется внешний кварцевый генератор на 50 МГц (вернее 32 МГц - от такой частоты работает драйвер клавиатуры в самом Специалисте_МХ_FPGA).

    Жду пожеланий, советов.
    Последний раз редактировалось fifan; 04.01.2012 в 22:15.

  5. #325
    Master
    Регистрация
    04.12.2008
    Адрес
    г. Черкассы, Украина
    Сообщений
    957
    Спасибо Благодарностей отдано 
    4
    Спасибо Благодарностей получено 
    11
    Поблагодарили
    8 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    u10 Reverse будет отладочной платкой адаптера. Жаль что на ней не хватает ног GPIO для всех входов/выходов 8255 (необходимо 19).
    Используйте незадействованные у Вас на плате GPIO от неустановленных чипов MAX3232[4] и AT45DB161D[4]. Правда такой вариант не совсем удобен, но зато оптимально подойдет для быстрой отладки

  6. #326
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Если что, вот проект под u10, назначение некоторых пинов потом изменится. Пока паяю переходник для подключения к реальному Специалисту_МХ2.
    Последний раз редактировалось fifan; 05.01.2012 в 10:07.

  7. #327
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Проект почти доделан. Может использоваться для портирования на другие DevBoard. Таймер подключен, RAM - диск увеличен до 512 кБайт.
    Для U10 назначение задействованных GPIO такового:
    Звук - использован зуммер от материнских плат, подключён через электролит 10 мкФ (+ - на плату) - пин #128 (GPIO2).
    Красный светодиод - Operate - работа с SD картой - пин #126 (GPIO4).
    Зелёный светодиод - Insert - работа с SD картой - пин #121 (GPIO7).
    Светодиоды подключены катодами через резистор 1 кОм. Аноды - на +5 В.

  8. #328
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    После большого перерыва опять зашил проект Специалиста_МХ на u10. Включил ... и забыл назначенные мною клавиши на ps/2 клаве. Посмотрел свою же шпаргалку. Да звук в МХ через таймер как-то не очень. Буду искать другие исходники таймера, экспериментировать с выводом звука.
    Хочу подключить к u10 через 74LVC245 Flash-диск на ВВ55 для Специалиста_МХ2 от HardWareMan'а и загружать игры под стандартный Специалист с диска.
    До этого DevBoard u10 хотел задействовать в PS/2 адаптере. Ничего не вышло, теперь знаю почему. Не было развязки между 5-ти вольтовыми выводами ВВ55 и самой ПЛИС. Теперь схема адаптера будет такой. ПЛИС (EPM240T100) надыбал, нужно заказать плату - только в новом году.

  9. #329
    Moderator Аватар для fifan
    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,165
    Спасибо Благодарностей отдано 
    106
    Спасибо Благодарностей получено 
    125
    Поблагодарили
    71 сообщений
    Mentioned
    4 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Мне нужно более 170 ячеек для эмуляции. Все подпрограммы опроса PS/2 клавиатуры взяты мною с данного проекта темы. Вот исходники, если нужно.
    Fitter Status : Successful - Sun Dec 09 15:39:42 2012
    Quartus II Version : 9.1 Build 222 10/21/2009 SJ Full Version
    Revision Name : ps2spets_epm240
    Top-level Entity Name : ps2spets
    Family : MAX II
    Device : EPM240T100C5
    Timing Models : Final
    Total logic elements : 171 / 240 ( 71 % )
    Total pins : 25 / 80 ( 31 % )
    Total virtual pins : 0
    UFM blocks : 0 / 1 ( 0 % )

  10. #330
    Activist
    Регистрация
    09.11.2011
    Адрес
    Москва
    Сообщений
    207
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    Проект почти доделан. Может использоваться для портирования на другие DevBoard. Таймер подключен, RAM - диск увеличен до 512 кБайт.
    Для U10 назначение задействованных GPIO такового:
    Звук - использован зуммер от материнских плат, подключён через электролит 10 мкФ (+ - на плату) - пин #128 (GPIO2).
    Красный светодиод - Operate - работа с SD картой - пин #126 (GPIO4).
    Зелёный светодиод - Insert - работа с SD картой - пин #121 (GPIO7).
    Светодиоды подключены катодами через резистор 1 кОм. Аноды - на +5 В.
    fifan, можно ли попросить перекомпилировать проект Специалиста на U9?

Страница 33 из 35 ПерваяПервая ... 29303132333435 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Орион-2010 на u10ep3c
    от Ewgeny7 в разделе Орион
    Ответов: 141
    Последнее: 19.10.2013, 23:51

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •