Важная информация

User Tag List

Страница 7 из 17 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя
Показано с 61 по 70 из 163

Тема: Радио-86РК: Реализация компьютера на ПЛИС

  1. #61
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я не специалист, но по-моему "always @(negedge clk or negedge SHRTC)" это неправильно. Попробуй разделить на две части, одна из которых будет привязана к negedge clk, а вторая - к negedge SHRTC.

  2. #61
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #62
    Member Аватар для Santechnik
    Регистрация
    08.05.2010
    Адрес
    Brisbane, AU
    Сообщений
    193
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Да вроде работает и так в других процессах. Вот, например, вертикальная развертка:
    Код:
    assign VRTC_out = (vr_cnt == 0) | ~(SVRTC);
    
    always @(negedge SVRTC or negedge clk)					// Vertical sync control
    	begin
    		if (SVRTC == 'b0) vr_cnt <= 'b111111111;
    		else if (vr_cnt > 'b0)
    			begin
    				if ((vr_cnt != 'b1) || (SHRTC == 'b0)) vr_cnt <= vr_cnt - 1;
    				else;
    			end
    		else;
    	end
    Но, за совет спасибо! Попробую прямо сейчас!

    Заработало!!! Спасибо! Вот что значит свежий взгляд!
    Последний раз редактировалось Santechnik; 19.06.2010 в 14:06.

  4. #63
    Guru Аватар для svofski
    Регистрация
    20.06.2007
    Адрес
    С.-Петербург
    Сообщений
    4,116
    Спасибо Благодарностей отдано 
    795
    Спасибо Благодарностей получено 
    658
    Поблагодарили
    403 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от b2m Посмотреть сообщение
    Я не специалист, но по-моему "always @(negedge clk or negedge SHRTC)" это неправильно. Попробуй разделить на две части, одна из которых будет привязана к negedge clk, а вторая - к negedge SHRTC.
    Формально в этом нету особой неправильности. Но на практике все такие конструкции имеют такой вид:
    Код:
    reg [3:0] rrr;
    
    always @(posedge xxx or posedge yyy) 
        if (yyy) 
           rrr <= 0;
        else
           rrr <= rrr + 1;
    Если посмотреть на RTL схему от этой конструкции, то можно увидеть, что yyy подается на вход асинхронного сброса (или асинхронной установки) счетчика, а xxx -- на тактирующий вход. Какой фронт используется у обоих сигналов, значения не имеет.

    В коде вертикальной развертки все просто -- одна из половинок делает асинхронную установку. А в горизонтальной написано так, что на оба фронта загружается не константа, выходит, что это не регистр с асинхронным сбросом, а что-то типа двухпортовой ячейки, или я даже не знаю что это. Наверное, Квартус тоже не понял.
    Больше игр нет

  5. #64
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от svofski Посмотреть сообщение
    Если посмотреть на RTL схему от этой конструкции, то можно увидеть, что yyy подается на вход асинхронного сброса (или асинхронной установки) счетчика, а xxx -- на тактирующий вход. Какой фронт используется у обоих сигналов, значения не имеет.
    После таких преобразований - конечно.

    Цитата Сообщение от svofski Посмотреть сообщение
    Наверное, Квартус тоже не понял.
    Интересно, как выглядит RTL схема того, которое неработает.

    Кстати, это прямо в квартусе RTL схема показывается? Я особо не копался, не подскажешь - как?
    Последний раз редактировалось b2m; 19.06.2010 в 14:46.

  6. #65
    Guru Аватар для svofski
    Регистрация
    20.06.2007
    Адрес
    С.-Петербург
    Сообщений
    4,116
    Спасибо Благодарностей отдано 
    795
    Спасибо Благодарностей получено 
    658
    Поблагодарили
    403 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от b2m Посмотреть сообщение
    Кстати, это прямо в квартусе RTL схема показывается? Я особо не копался, не подскажешь - как?
    Когда уже все собралось, в Project Navigator-е смотри в закладку Hierarchy. Там в контекстном меню интересующего тебя модуля можно вызывать "Locate in RTL Viewer" и оно покажет.
    Больше игр нет

  7. #66
    Member Аватар для Santechnik
    Регистрация
    08.05.2010
    Адрес
    Brisbane, AU
    Сообщений
    193
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Интересно, что я там в первый раз пытался квартусу всучить, что он так ругался "Internal Error"? Кстати, до того как заработало, конструкция занимала около 60 элементов. Сейчас 30
    Я думал, что неважно, что напишу, квартус разрулит это как-нибудь. Спасибо за разъяснения! Может мне книжку какую посоветуешь почитать для восполнения пробелов? А то вот так и тыкаюсь как слепой котенок иногда на таких вещах.

  8. #67
    Guru Аватар для svofski
    Регистрация
    20.06.2007
    Адрес
    С.-Петербург
    Сообщений
    4,116
    Спасибо Благодарностей отдано 
    795
    Спасибо Благодарностей получено 
    658
    Поблагодарили
    403 сообщений
    Mentioned
    22 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    К сожалению не знаю подходящих книжек. Так получилось, что все из интернетов. И да здравствует опенсорс.

    Клифф Каммингс написал много интересных статей про Верилог. Полторы из них я даже наполовину осилил когда-то. Мне нравится, что он часто подходит к проблеме со стороны инженера-самоучки, который в принципе многое уже умеет, но не знает почему так получается.
    http://www.sunburst-design.com/papers/
    Больше игр нет

  9. #68
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Набросал свою версию Радио-86РК. Качать, как всегда, на моём сайте в разделе ПЛИС. Работает почти всё, загружать программы можно через магнитофон, хотя лично я просто соеденил выход звуковой карты со входом DE1 и "жужжал" из своего эмулятора

    Есть отличие от стандартного РК - я реализовал инверсию, чтобы проверить работу курсора-блока.

  10. #69
    Member Аватар для Santechnik
    Регистрация
    08.05.2010
    Адрес
    Brisbane, AU
    Сообщений
    193
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    0
    Поблагодарили
    0 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Супер! Попробую сегодня. А "почти все работает" что означает? Что не работает? Как реализовал ВГ75?
    Все детали проектов ЮТ-88 на ПЛИС, АГАТ-7 на ПЛИС и прочее в моем блоге на http://electronicsfun.net

  11. #70
    Guru
    Регистрация
    24.01.2008
    Адрес
    Уфа
    Сообщений
    3,847
    Спасибо Благодарностей отдано 
    84
    Спасибо Благодарностей получено 
    229
    Поблагодарили
    167 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Santechnik Посмотреть сообщение
    А "почти все работает" что означает?
    Почти всё работает - означает, что реализованы все БИС, в том числе ВТ57 и ВГ75.

    Цитата Сообщение от Santechnik Посмотреть сообщение
    Что не работает?
    Мелочи всякие, которые в основном не используются: сдвиг приоритетов каналов ПДП, атрибуты LA0,LA1, ну и т.п.

    Цитата Сообщение от Santechnik Посмотреть сообщение
    Как реализовал ВГ75?
    По аналогии с тем, что у меня в эмуляторе Ну и конечно, сверялся по Шахнову. Нельзя сказать, что реализовано в точности как в железе, но основные функции оно выполняет. В частности, кадровые и строчные СИ я использовал внешние, от генератора развёртки 800х600@50Гц. Шину данных разделил на три части (ввод,вывод и вход символа от ПДП), управляющие сигналы тоже (чтобы не городить мультиплексоров). Шину адреса ВТ57 сделал без заморочек со старшим байтом в регистре - просто 16 бит. Вобщем, разница не принципиальная, в основном адаптация для ПЛИС.

Страница 7 из 17 ПерваяПервая ... 34567891011 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Радио-86РК: Разное
    от Titus в разделе Радио-86РК
    Ответов: 351
    Последнее: 05.04.2018, 16:19
  2. Ответов: 65
    Последнее: 14.11.2014, 08:05
  3. Ответов: 92
    Последнее: 19.02.2009, 17:33
  4. Радио 86РК
    от Shnurkov в разделе Барахолка (архив)
    Ответов: 1
    Последнее: 02.01.2009, 12:52

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •