Важная информация

User Tag List

Страница 9 из 30 ПерваяПервая ... 5678910111213 ... ПоследняяПоследняя
Показано с 81 по 90 из 296

Тема: Altera DE1 - Development and Education Board в раше.

  1. #81
    Veteran Аватар для AlexBel
    Регистрация
    02.01.2007
    Адрес
    Волгоград, Россия
    Сообщений
    1,320
    Спасибо Благодарностей отдано 
    154
    Спасибо Благодарностей получено 
    123
    Поблагодарили
    75 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от syd Посмотреть сообщение
    Хочу себе 1chipMSX
    В Altera DE1 много лишнего, а вот выхода на телик нет..
    Кто мешает сделать?

    Добавлено через 18 минут
    Цитата Сообщение от caro Посмотреть сообщение
    Известно, что памяти никогда не бывает много, поэтому все зависит от решаемых задач,
    но в минимальной конфигурации пожалуй наиболее оптимально иметь большой обьем SDRAM,
    EPCS для хранения прошивок и BIOS и внешний накопитель типа SD/MMC.
    Это моё личное ИМХО
    А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?
    Последний раз редактировалось AlexBel; 14.11.2007 в 18:16. Причина: Добавлено сообщение

  2. #82
    Master Аватар для syd
    Регистрация
    21.11.2006
    Адрес
    Киев
    Сообщений
    618
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    7
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexBel Посмотреть сообщение
    А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?
    ну так он там и находится..

  3. #83
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от syd
    Хочу себе 1chipMSX
    В Altera DE1 много лишнего,
    А мне кажется как раз в DE1 есть многое необходимое, чего к сожалению нет в OCM.
    Цитата Сообщение от syd
    а вот выхода на телик нет..
    Есть, правда в текущей версии прошивки работает только NTSC,
    но с современными телевизорами это не проблема.
    А подключается переходником с VGA-разьема, и переключением в нужный режим.
    На лету это делается клавишей F12, а по умолчанию нужный режим устанавливается переключателями SW1,SW0.

    Добавлено через 4 минуты
    Цитата Сообщение от AlexBel Посмотреть сообщение
    А можно в EPCS4 засунуть кроме прошивки ROM еще и код для самого Циклона, чтобы при включени DE1 сразу запускалать MSX? Влезет?
    Конечно влезет, она и стоит в схеме для хранения прошивки FPGA,
    а хранение в ней прошивки получившегося компьютера это скорее удачное аппаратно-программное решение.
    Первую половину EPCS4 занимает прошивка FPGA, а вторую прошивка MSX.
    Переключи DE1 в режим программирования и залей прошивку, а не грузи каждый раз по новой.

    Кстати на счет прошивки MSX.
    Покопавшись в исходнике начального загрузчика
    обнаружил, что при старте проверяется содержимое подключенной
    SD-карты, и если первым файлом на карте записан файл прошивки,
    то он и грузится в SDRAM компьютера, задавая его ПО.
    Это очень здорово поскольку позволяет оперативно менять прошивки, в частности например переключать национальные кодировки.
    Сейчас попробовал собрать прошивку с русской кодировкой в результате получил конфигурацию работающую с кодами КОИ-8.
    Только кадровая переключилась на 50 Гц и клавиатура стала ЙЦУКЕН
    Кстати об этой возможности загрузки ОСМ пока нигде не читал.
    Последний раз редактировалось caro; 14.11.2007 в 21:31. Причина: Добавлено сообщение

  4. #84
    Veteran Аватар для AlexBel
    Регистрация
    02.01.2007
    Адрес
    Волгоград, Россия
    Сообщений
    1,320
    Спасибо Благодарностей отдано 
    154
    Спасибо Благодарностей получено 
    123
    Поблагодарили
    75 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    А мне кажется как раз в DE1 есть многое необходимое, чего к сожалению нет в OCM.
    Есть, правда в текущей версии прошивки работает только NTSC,
    но с современными телевизорами это не проблема.
    А подключается переходником с VGA-разьема, и переключением в нужный режим.
    На лету это делается клавишей F12, а по умолчанию нужный режим устанавливается переключателями SW1,SW0.

    Кстати на счет прошивки MSX.
    Покопавшись в исходнике начального загрузчика
    обнаружил, что при старте проверяется содержимое подключенной
    SD-карты, и если первым файлом на карте записан файл прошивки,
    то он и грузится в SDRAM компьютера, задавая его ПО.
    Это очень здорово поскольку позволяет оперативно менять прошивки, в частности например переключать национальные кодировки.
    Сейчас попробовал собрать прошивку с русской кодировкой в результате получил конфигурацию работающую с кодами КОИ-8.
    Только кадровая переключилась на 50 Гц и клавиатура стала ЙЦУКЕН
    Кстати об этой возможности загрузки ОСМ пока нигде не читал.
    При выводе на телевизор синхронизация кадров и строк раздельная (нужно смешивать) или уже смесь выводится?
    В каком формате должен быть файл прошивки и какое он должен иметь имя?
    Какова структура файла прошивки ПЗУ MSX для OCM DE1?
    При переключении одного из них пропадает изображение на VGA (видимо, переключается на телеразвертку), при переключении второго в 2 раза падает общая яркость изображения. Так и должно быть?
    Что значит - "первым файлом на карте записан файл прошивки"? На чистую (только что отформатированную) флэшку сперва нужно записать прошивку? Или просто файл прошивки помещается в корневой каталог?
    Последний раз редактировалось AlexBel; 14.11.2007 в 23:59.

  5. #85
    Activist Аватар для Retrograd
    Регистрация
    29.12.2006
    Адрес
    Одесса
    Сообщений
    435
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Простите, что вклиниваюсь!

    Люди! А где в Украине можно купить DE1? Или это нереально и надо заказывать за границей?

    Спасибо.
    ZXM-Phoenix 1024 + ZXKit1, Sinclair ZX Spectrum+, АТМ 2 TURBO+, PentEVO rev. C, Speccy2007, Commodore C64, Amiga A1200, Amiga A500, MSX2, Atari 800XL, Atari 130XE + SDrive, Atari ST, Atari Jaguar, Altera DE1,

    +Небольшая стоечка в серверной комнате.

  6. #86
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию OCM - загрузка ROMs с SD-карты.

    Начальный загрузчик OCM (модуль IPLROM.VHD) при старте системы
    в первую очередь проверяет содержимое SD-карты, установленной
    в слот компьютера.
    Проверяется, что карточка отформатирована в стандарте FAT.
    Для этого читается BOOT-сектор карты и в нем ищется надпись "FAT".
    Если надпись найдена, то по информации из этого сектора вычисляется
    смещение первого кластера данных от начала карты и читается первый
    сектор этого кластера. Если первые два байта этого сектора равны "AB",
    то считается, что это начальный кластер файла, содержащего прошивку MSX.
    Поскольку обьем прошивки OCM равен 256 кбайт, то с диска, начиная с
    этого первого сектора в память OCM, выделенную для размещения ПЗУ,
    читается 512 секторов данных. В любом другом случае прошивка того же
    обьема считывается в память ОСМ с загрузочной флешки EPCS4.
    Тоесть для того, что бы загрузить прошивку с SD-карты, а не из
    загрузочной флешки, первые 256 кбайт данных на карте должны содержать
    в BIN-формате весь обьем ПЗУ, требуемых для работы данной
    конфигурации MSX. Причем, если обьем одного кластера не превышает
    16 кбайт (что чаще всего соблюдается для применяемых карт), то
    не обязательно данные должны быть записаны в виде одного файла.
    Главное, что бы они размещались в определенном порядке:
    1) MEGASCSI.ROM - 64 кбайт;
    2) MSX2.ROM - 32 кбайт;
    3) MSX2EXT.ROM - 16 кбайт;
    4) FMPAC.ROM - 16 кбайт;
    5) KANJI.ROM - 128 кбайт.
    Первые два байта MEGASCSI.ROM и являются признаками прошивки MSX.
    Файлы в этом порядке пишутся на вновь отформатированнную SD-карту,
    либо их можно "склеить" командой copy, получив результирующий
    файл прошивки обьемом 256 кбайт и записав его на карту.
    Теперь если установить эту карту в слот OCM и включить питание,
    то прошивка будет загружена с карты, а не из EPCS4.
    Имейте ввиду, что с карты загружается только содержимое ПЗУ MSX,
    а не конфигурационный файл FPGA, который по прежнему заливается
    из EPCS4.
    Первый из файлов содержит дисковую подсистему OCM, которую лучше
    не трогать, а остальные файлы можно пробовать менять, не забывая
    что обьем каждого из них строго фиксирован.

    Добавлено через 49 минут
    Цитата Сообщение от Retrograd Посмотреть сообщение
    Люди! А где в Украине можно купить DE1? Или это нереально и надо заказывать за границей?
    Здесь уже писали про возможность заказа в Украине:
    http://zx.pk.ru/showpost.php?p=70694&postcount=8

    Добавлено через 3 часа 56 минут
    Цитата Сообщение от AlexBel
    При выводе на телевизор синхронизация кадров и строк раздельная (нужно смешивать) или уже смесь выводится?
    В режиме TV (SW1,SW0=11), на выводах VGA-разьема:
    BLUE - Composite Video
    RED - S-Video/Y
    GREEN - S-Video/C
    Последний раз редактировалось caro; 15.11.2007 в 21:05. Причина: Добавлено сообщение

  7. #87
    Master Аватар для syd
    Регистрация
    21.11.2006
    Адрес
    Киев
    Сообщений
    618
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    7
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от caro Посмотреть сообщение
    В режиме TV (SW1,SW0=11), на выводах VGA-разьема:
    BLUE - Composite Video
    RED - S-Video/Y
    GREEN - S-Video/C
    как на глаз качесво композита? четырех бит на DE1 хватает..

  8. #88
    Activist Аватар для Retrograd
    Регистрация
    29.12.2006
    Адрес
    Одесса
    Сообщений
    435
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Сегодня звонил в "Биаком", они же - представители компании Altera в Украине. Находятся в Киеве. Вежливо поговорил с менеджером о сабже. Как я понял из разговора: этот кит еще ни разу не поставлялся в Украину. Во всяком случае через них. Он так и не смог мне скалькулировать текущую стоимость борды. А так же, всячески склонял к приобретению DE2. Цену назвал. 420 долларов. Во так.

    В итоге обменялись мылами и теперь жду ответа насчет DE1. Результат напишу здесь.
    ZXM-Phoenix 1024 + ZXKit1, Sinclair ZX Spectrum+, АТМ 2 TURBO+, PentEVO rev. C, Speccy2007, Commodore C64, Amiga A1200, Amiga A500, MSX2, Atari 800XL, Atari 130XE + SDrive, Atari ST, Atari Jaguar, Altera DE1,

    +Небольшая стоечка в серверной комнате.

  9. #89
    Guru Аватар для caro
    Регистрация
    14.01.2005
    Адрес
    Ekaterinburg
    Сообщений
    2,721
    Спасибо Благодарностей отдано 
    16
    Спасибо Благодарностей получено 
    134
    Поблагодарили
    87 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от syd Посмотреть сообщение
    как на глаз качесво композита? четырех бит на DE1 хватает..
    Честно говоря даже не пробовал подключаться
    к телевизору, поскольку меня вполне устраивает
    то что я вижу на VGA мониторе
    Тем более, что наверняка знаю, что изображение
    все равно будет черно-белым.
    Дело в том, что в OCM базовый тактовый генератор
    имеет частоту 21.47727 МГц. Делением ее на 6
    получается 3.579545 МГц - равная частоте поднесущей
    для NTSC-сигнала.
    В DE1 основная частота 21 Мгц, что при делении на 6
    дает частоту не равную поднесущей NTSC.
    Если сильно приспичит, можно собрать генератор с
    требуемой частотой и подключить к предусмотренному
    на DE1 входу внешнего генератора.
    По поводу разрядности в 4 бит ничего сказать
    не могу, надо смотреть.

  10. #90
    Master Аватар для syd
    Регистрация
    21.11.2006
    Адрес
    Киев
    Сообщений
    618
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    7
    Поблагодарили
    4 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Зато теоритически через PLL должна хорошо получится частота поднесущей для PAL.
    21 * 19 / 15 = 26.6 MHz
    4.43361875 * 6 = 26.601712 MHz
    ошибка < 0.01%

    хотя мне почему-то кажется, что 4 бита все-равно очень мало, и заморачиваться не стоит. Интересно как выглядит композит на 1chipMSX на 6-ти битах.

Страница 9 из 30 ПерваяПервая ... 5678910111213 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. TommyGun - a retro development toolkit
    от TomCaT в разделе RST#38
    Ответов: 3
    Последнее: 05.10.2006, 02:54
  2. TommyGun - a retro development toolkit
    от 736b в разделе Программирование
    Ответов: 14
    Последнее: 29.09.2006, 15:12
  3. Insert Board( SoC)
    от andrews в разделе Несортированное железо
    Ответов: 22
    Последнее: 24.07.2006, 21:41
  4. Altera
    от serg.ne@ в разделе Несортированное железо
    Ответов: 60
    Последнее: 24.12.2005, 12:27
  5. Можно ли запихнуть Z80 в ALTERA
    от tilikum в разделе Несортированное железо
    Ответов: 71
    Последнее: 11.07.2005, 20:14

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •